tag:blogger.com,1999:blog-15465520854598501162024-03-12T20:19:54.398-07:00VLSI with VikasDisclaimer:The postings on this site are my own and do not necessarily reflect the views of my employer. Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.comBlogger19125tag:blogger.com,1999:blog-1546552085459850116.post-2974283753949851662017-09-20T18:34:00.000-07:002017-09-20T18:34:04.358-07:00DVCON-17 India: Post Conference Updates<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="background-color: white; color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;"><b>Hi Readers,</b></span><br style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;" /><span style="background-color: white; color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;"><b><br /></b></span><span style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;"></span><span style="background-color: white; color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;"><b> Attended 4th Edition of DVCON-17 India on Sep 14th & 15th in Bangalore.</b></span><b style="color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;">Summarizing the key highlights below.</b><br />
<span style="background-color: white; color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;"><b><br /></b></span><span style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;"></span><span style="background-color: white;"><b><span style="color: #666666; font-family: trebuchet ms, trebuchet, verdana, sans-serif;"><span style="font-size: 13.2px;"> DVCON India got an outstanding response with houseful gathering of DV Engineers, It started with keynote speeches followed by Tutorials and Panel Discussions on current topics like Machine Learning, Emulation,PSS, etc.</span></span></b></span><b><span style="color: #666666; font-family: trebuchet ms, trebuchet, verdana, sans-serif;"><span style="font-size: 13.2px;">The day ended with a Gala Dinner and created an opportunity to meet peers from other companies.</span></span></b><br />
<br />
<b style="color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;"><span style="font-family: "trebuchet ms", trebuchet, verdana, sans-serif;"><span style="font-size: 13.2px;">Day 2 stared with a keynote speech on "Driving the Next Big Wave in Verification by Ravi Subramanian from Mentor Graphics followed by paper and poster sessions.</span></span></b><br />
<span style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;"><b><span style="font-family: "trebuchet ms", trebuchet, verdana, sans-serif;"><span style="font-size: 13.2px;"><br /></span></span></b></span>
<span style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;"><b><span style="font-family: "trebuchet ms", trebuchet, verdana, sans-serif;"><span style="font-size: 13.2px;">There were handful papers on UVM, a</span></span></b></span><b style="color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;"><span style="font-size: 13.2px;">ttended below papers in the UVM Track. </span></b><br />
<b style="color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;"><span style="font-size: 13.2px;"><br /></span></b>
<ol start="1" style="margin-bottom: 0in; margin-top: 0in;" type="1">
<li class="MsoListParagraph" style="font-family: Calibri, sans-serif; font-size: 11pt; margin: 0in 0in 0.0001pt;">How to inject errors in UVM RAL: Explained by using callbacks</li>
<li class="MsoListParagraph" style="font-family: Calibri, sans-serif; font-size: 11pt; margin: 0in 0in 0.0001pt;">Adopting UVM for FPGA & RTL Engineers: Explained about go2uvm app </li>
<li class="MsoListParagraph" style="font-family: Calibri, sans-serif; font-size: 11pt; margin: 0in 0in 0.0001pt;">Real world clock generator: Explained on how to develop a clock agent with all possible options like jitter etc…</li>
<li class="MsoListParagraph" style="font-family: Calibri, sans-serif; font-size: 11pt; margin: 0in 0in 0.0001pt;">Embedded UVM: Explained how to use it on raspberry pi</li>
<li class="MsoListParagraph" style="font-family: Calibri, sans-serif; font-size: 11pt; margin: 0in 0in 0.0001pt;">The coverage generator: script to automate functional coverage coding</li>
</ol>
<span style="background-color: white; color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;"><b><span style="font-size: 13.2px;"><br /></span></b></span><span style="background-color: white; color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;"><b><span style="font-size: 13.2px;">I have presented 2 papers as mentioned below.</span></b></span><br />
<span style="font-family: Calibri, sans-serif; font-size: 11pt;">1.</span><span style="font-family: Cambria, serif; font-size: 40pt;"> </span><span style="font-family: Calibri, sans-serif; font-size: 11pt;">Trials and Tribulations of migrating a native UVM Testbench from Simulation to Emulation</span><br />
<div class="MsoNormal" style="font-family: Calibri, sans-serif; font-size: 11pt; margin: 0in 0in 0.0001pt;">
2. A 360 view of UVM Events</div>
<div class="MsoNormal" style="font-family: Calibri, sans-serif; font-size: 11pt; margin: 0in 0in 0.0001pt;">
<br /></div>
<div class="MsoNormal" style="margin: 0in 0in 0.0001pt;">
<span style="background-color: white; color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;"><b><span style="font-size: 13.2px;"> Got good response from the audience, The full paper can be found in DVCON-17 archives shortly.</span></b></span><br style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;" /><span style="background-color: white; color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;"><b><span style="font-size: 13.2px;"><br /></span></b></span><span style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;">Link: </span><span style="color: #666666; font-family: Trebuchet MS, Trebuchet, Verdana, sans-serif;"><span style="font-size: 13.2px;">https://dvcon-india.org/</span></span></div>
<div class="MsoNormal" style="font-family: Calibri, sans-serif; font-size: 11pt; margin: 0in 0in 0.0001pt;">
<br /></div>
<span style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;"></span><br style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;" />
<div style="text-align: center;">
<img alt="Image may contain: one or more people, screen and indoor" height="253" src="https://scontent.fhyd7-1.fna.fbcdn.net/v/t1.0-9/21751493_10213504479064479_7639816265496365631_n.jpg?oh=a36a62cc374ba8d23b3a229a38bfc8ec&oe=5A45294E" width="400" /></div>
<div class="separator" style="background-color: white; clear: both; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px; text-align: center;">
</div>
<div style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px; text-align: center;">
<span style="font-family: "trebuchet ms", trebuchet, verdana, sans-serif;"><b><br /></b></span></div>
<div style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px; text-align: center;">
<b style="font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;"> </b></div>
<span style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;"><span style="font-family: "trebuchet ms", trebuchet, verdana, sans-serif;"><b><span style="font-size: 13.2px;">Conference provided networking opportunities , met some old friends and made new friends as well, also learnt new technologies. Meet you again in DVCON-18.</span></b></span></span><br style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;" /><span style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;"><span style="font-family: "trebuchet ms", trebuchet, verdana, sans-serif;"><b><span style="font-size: 13.2px;"><br /></span></b></span></span><span style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;"></span><span style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;"><span style="font-family: "trebuchet ms", trebuchet, verdana, sans-serif;"><b><span style="font-size: 13.2px;">Happy Reading..!</span></b></span></span><br style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;" /><span style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;"><span style="font-family: "trebuchet ms", trebuchet, verdana, sans-serif;"><b><span style="font-size: 13.2px;"><br /></span></b></span></span><span style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;"></span><span style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;"><span style="font-family: "trebuchet ms", trebuchet, verdana, sans-serif;"><b><span style="font-size: 13.2px;"><br /></span></b></span></span><span style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;"></span><span style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;"><span style="font-family: "trebuchet ms", trebuchet, verdana, sans-serif;"><b><span style="font-size: 13.2px;">Thanks,</span></b></span></span><br style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;" /><span style="background-color: white; color: #666666; font-family: "Trebuchet MS", Trebuchet, Verdana, sans-serif; font-size: 13.2px;"><span style="font-family: "trebuchet ms", trebuchet, verdana, sans-serif;"><b><span style="font-size: 13.2px;">Vikas Billa </span></b></span></span></div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com0tag:blogger.com,1999:blog-1546552085459850116.post-56197289085142284072016-12-03T06:54:00.001-08:002016-12-03T06:57:52.896-08:00Mentors Graphics U2U Conference, Dec - 2 2016, Bangalore : Updates<div dir="ltr" style="text-align: left;" trbidi="on">
<br />
<span style="background-color: white; color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif; font-size: 13.2px;"><b>Hi Readers,</b></span><br />
<span style="background-color: white; color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif; font-size: 13.2px;"><b><br /></b></span>
<span style="background-color: white; color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif; font-size: 13.2px;"><b> Attended Mentor Graphics User 2 User 2016 on Dec 2, Bangalore. Summarizing the same below</b></span><br />
<span style="background-color: white; color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif; font-size: 13.2px;"><b><br /></b></span>
<span style="background-color: white;"><b><span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><span style="font-size: 13.2px;"> U2U India got an outstanding response with houseful gathering of VLSI Engineers, Conference started with Welcome speech by Ruchir Dixit, Technical Director, on Congnitive shift to transformative Decisions - briefed on the adoption of new technologies , explained using some general examples as shown below.</span></span></b></span><br />
<span style="background-color: white;"><b><span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><span style="font-size: 13.2px;"><br /></span></span></b></span>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEifc9kOUgbDS4sSnEoSqCCYZ1Snpgd5DLLafM1vgXWQJzWKrm6LoMNpu9zTd1bO3N_o0Mh9p3awVOZECnzKIbTXhFZFyh0trc8ScINczG-gvqpyxuM86WTEY4zFq2D_GEDVFF7ICDCG_uyB/s1600/dhonui.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="145" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEifc9kOUgbDS4sSnEoSqCCYZ1Snpgd5DLLafM1vgXWQJzWKrm6LoMNpu9zTd1bO3N_o0Mh9p3awVOZECnzKIbTXhFZFyh0trc8ScINczG-gvqpyxuM86WTEY4zFq2D_GEDVFF7ICDCG_uyB/s400/dhonui.PNG" width="400" /></a></div>
<div class="separator" style="clear: both; text-align: center;">
<b style="color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px; text-align: left;"> Figure 1: Ajay to Dhoni as wicket keeper for Indian cricket Team.</b></div>
<br />
<span style="background-color: white;"><b><span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><span style="font-size: 13.2px;"><br /></span></span></b></span>
<span style="background-color: white;"><b><span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><span style="font-size: 13.2px;">Later Walden Rhines, Chairman, given a keynote on Next wave of semiconductor Growth with actual data vs predicted growth data from previous years.</span></span></b></span><br />
<span style="background-color: white;"><b><span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><span style="font-size: 13.2px;"><br /></span></span></b></span>
<br />
<span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><span style="background-color: white;"><b><span style="font-size: 13.2px;">Industry keynote speech on "Make In India" is delivered by Kiron shah , MD , Velankani Group, he played a video which showed his achievements from last 9 months..from setting up plant to shipping etc..</span></b></span></span><br />
<span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><span style="background-color: white;"><b><span style="font-size: 13.2px;"><br /></span></b></span></span>
<span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><span style="background-color: white;"><b><span style="font-size: 13.2px;"><br /></span></b></span></span>
<span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><span style="background-color: white;"><b><span style="font-size: 13.2px;">Latter Attended sessions in Functional Verification Track. There were 8 papers in this session on Qformal, Veloce and QVIP etc..</span></b></span></span><br />
<span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><span style="background-color: white;"><b><span style="font-size: 13.2px;"><br /></span></b></span></span>
<span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><span style="background-color: white;"><b><span style="font-size: 13.2px;">We have presented 2 papers on Qformal from our company, I was co-author for one of the paper titled " Using Questa Formal Connect Flow to Improve Quality and Productivity".</span></b></span></span><br />
<span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><span style="background-color: white;"><b><span style="font-size: 13.2px;"><br /></span></b></span></span>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjhaegeod2mwIi782eyaBo_F_jtiesdeXfdVuZBWk3qL7_3DzF0KN3Cusr0tuUkasiwCxLqFk8LZMXrMlqqgE55yjlf3FbJ5zccmqf7XCRLZndrRnYstGMnQnXm1JKkdO2CKFvjcDlhC3cI/s1600/123.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="300" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjhaegeod2mwIi782eyaBo_F_jtiesdeXfdVuZBWk3qL7_3DzF0KN3Cusr0tuUkasiwCxLqFk8LZMXrMlqqgE55yjlf3FbJ5zccmqf7XCRLZndrRnYstGMnQnXm1JKkdO2CKFvjcDlhC3cI/s400/123.PNG" width="400" /></a></div>
<div style="text-align: center;">
<span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><span style="background-color: white;"><b><span style="font-size: 13.2px;"><br /></span></b></span></span></div>
<div style="text-align: center;">
<b style="color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;"> Figure 2: U2U Slide </b></div>
<b style="color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;"><br /></b>
<span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><span style="background-color: white;"><b><span style="font-size: 13.2px;"><br /></span></b></span></span>
<span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><span style="background-color: white;"><b><span style="font-size: 13.2px;">We got an outstanding response from the audience, The full paper can be found in U2U archives shortly.</span></b></span></span><br />
<span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><span style="background-color: white;"><b><span style="font-size: 13.2px;"><br /></span></b></span></span>
Link: http://user2user.mentor.com/u2u-archives/<br />
<br />
<br />
<span style="background-color: white;"><span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><b><span style="font-size: 13.2px;">Conference provide lot of networking opportunities , met some old friends and made new friends as well, besides learning new technologies. wishing you to meet you again in next U2U - 2017.</span></b></span></span><br />
<span style="background-color: white;"><span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><b><span style="font-size: 13.2px;"><br /></span></b></span></span>
<span style="background-color: white;"><span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><b><span style="font-size: 13.2px;">Happy Reading..!</span></b></span></span><br />
<span style="background-color: white;"><span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><b><span style="font-size: 13.2px;"><br /></span></b></span></span>
<span style="background-color: white;"><span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><b><span style="font-size: 13.2px;"><br /></span></b></span></span>
<span style="background-color: white;"><span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><b><span style="font-size: 13.2px;">Thanks,</span></b></span></span><br />
<span style="background-color: white;"><span style="color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif;"><b><span style="font-size: 13.2px;">Vikas Billa </span></b></span></span></div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com0tag:blogger.com,1999:blog-1546552085459850116.post-26441052200840305082016-10-26T00:11:00.001-07:002016-10-26T00:11:33.521-07:00DVCon -16, USA papers are available now for download.<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="background-color: white; color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;"><br /></span>
<span style="background-color: white; color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;">DVCon -16, USA papers are available now for download.</span><br />
<span style="background-color: white; color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;"><br /></span>
<span style="background-color: white; color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;">Please download our paper and presentation on<b> "UVM_Events"</b></span><br />
<span style="background-color: white; color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;"><br /></span>
<span style="background-color: white; font-size: 13.2px;"><span style="color: #666666; font-family: trebuchet ms, trebuchet, verdana, sans-serif;"><i>http://events.dvcon.org/events/proceedings.aspx?id=199--11</i></span></span><br />
<span style="background-color: white; font-size: 13.2px;"><span style="color: #666666; font-family: trebuchet ms, trebuchet, verdana, sans-serif;"><br /></span></span>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgKtb3L296d0RQ_M4heXiq0m1KiDZLJA3fKL5in1PJQgqgYQSYTgD36H7NdAZjJuXpEWdbfPWM-BgKlP1IGTlVUnX0XQAsQ7g9zWE7fD1j_csb8UbteKNtDUUaki5QpjKSSUYc6Fjp3arbI/s1600/dvcon.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="265" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgKtb3L296d0RQ_M4heXiq0m1KiDZLJA3fKL5in1PJQgqgYQSYTgD36H7NdAZjJuXpEWdbfPWM-BgKlP1IGTlVUnX0XQAsQ7g9zWE7fD1j_csb8UbteKNtDUUaki5QpjKSSUYc6Fjp3arbI/s400/dvcon.PNG" width="400" /></a></div>
<span style="background-color: white; font-size: 13.2px;"><span style="color: #666666; font-family: trebuchet ms, trebuchet, verdana, sans-serif;"><br /></span></span>
<span style="background-color: white; color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px;"><br /></span>
<span style="color: #666666; font-family: trebuchet ms, trebuchet, verdana, sans-serif;"><span style="background-color: white; font-size: 13.2px;">Please write to us for suggestions/comments.</span></span><br />
<span style="color: #666666; font-family: trebuchet ms, trebuchet, verdana, sans-serif;"><span style="background-color: white; font-size: 13.2px;"><br /></span></span>
<span style="color: #666666; font-family: trebuchet ms, trebuchet, verdana, sans-serif;"><span style="background-color: white; font-size: 13.2px;">Happy Reading,</span></span><br />
<span style="color: #666666; font-family: trebuchet ms, trebuchet, verdana, sans-serif;"><span style="background-color: white; font-size: 13.2px;">Vikas Billa</span></span><br />
<span style="color: #666666; font-family: trebuchet ms, trebuchet, verdana, sans-serif;"><span style="background-color: white; font-size: 13.2px;"><br /></span></span></div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com0tag:blogger.com,1999:blog-1546552085459850116.post-73764296702563763322016-07-13T02:43:00.002-07:002016-07-13T02:43:50.816-07:00Highlights of DVCON -16, USA<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="background-color: white; color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px; line-height: 18.48px;">Amiq Consulting presents highlights of DVCON -16, USA, covering our paper " </span><a href="https://dvcon.org/content/event-details?id=199-11" style="font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px; line-height: 18.48px;" target="_blank">A 360 Degree View of UVM Events</a><span style="background-color: white; color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px; line-height: 18.48px;">".</span><br />
<br />
<span style="background-color: white; color: #666666; font-family: "trebuchet ms", trebuchet, verdana, sans-serif; font-size: 13.2px; line-height: 18.48px;">Link : <a href="http://www.amiq.com/consulting/2016/04/07/highlights-of-dvcon-us-2016/" target="_blank">http://www.amiq.com/consulting/2016/04/07/highlights-of-dvcon-us-2016/</a></span><br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiNVyoymsd4A5GBlgLA55S6a7lIJc4-wGtrfEhuRzqnk7rgSgmZhK7Wcjyt1N1KrQ5TJg89eRzghxeKni_MiGSRd2ncF6YGRA84QkjT8RiMfMnO7HrHlJnAwePFUUdISMEO67ST6OAQ10H3/s1600/amiq.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="267" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiNVyoymsd4A5GBlgLA55S6a7lIJc4-wGtrfEhuRzqnk7rgSgmZhK7Wcjyt1N1KrQ5TJg89eRzghxeKni_MiGSRd2ncF6YGRA84QkjT8RiMfMnO7HrHlJnAwePFUUdISMEO67ST6OAQ10H3/s400/amiq.PNG" width="400" /></a></div>
<br /></div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com0tag:blogger.com,1999:blog-1546552085459850116.post-63784233954010938212016-07-03T23:08:00.000-07:002016-07-13T21:10:01.363-07:00Adopting Metric Driven Verification for effective Verification in conjunction with Universal Verification Methodology<div dir="ltr" style="text-align: left;" trbidi="on">
<span style="background-color: white; color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif; font-size: 13.2px; line-height: 18.48px;"><br /></span>
<span style="background-color: white; color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif; font-size: 13.2px; line-height: 18.48px;">This paper is selected for Cadence CDN Live -2016, EMEA , Germany</span><span style="background-color: white; color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif; font-size: 13.2px; line-height: 18.48px;">, please find the slides for the same.</span><br />
<span style="background-color: white; color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif; font-size: 13.2px; line-height: 18.48px;"><br /></span>
<br />
<div class="separator" style="clear: both; text-align: center;">
</div>
<span style="background-color: white; color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif; font-size: 13.2px; line-height: 18.48px;"><br /></span>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEg9u_picKRQ7GWN7wxIMqrGpIy9ZRYg9FLdcHMfZn0s43LUAe4TgzySqXJqu62EZfJ5mi6AKz5FHoXhQbcgdZWiNJcgCb-jWUCTdis1pk7AlxHdI324bifYn26XYyB8EbGggrH6IgMRc9kP/s1600/mdv_2.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="296" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEg9u_picKRQ7GWN7wxIMqrGpIy9ZRYg9FLdcHMfZn0s43LUAe4TgzySqXJqu62EZfJ5mi6AKz5FHoXhQbcgdZWiNJcgCb-jWUCTdis1pk7AlxHdI324bifYn26XYyB8EbGggrH6IgMRc9kP/s400/mdv_2.PNG" width="400" /></a></div>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjU5Zdigibs58e3XOs0fp19aLWHK6l7lPY0iul1epWC0R1tp8SSNb-KQ6UBZ7yepiwG4kpAg21e3gnX127ozsUvv3pY9AdZRsKsBY4-HDlETqgBVTZUfqxnMMxn8HK7pOW8xH7VPE5vH7ew/s1600/mdv_3.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="296" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjU5Zdigibs58e3XOs0fp19aLWHK6l7lPY0iul1epWC0R1tp8SSNb-KQ6UBZ7yepiwG4kpAg21e3gnX127ozsUvv3pY9AdZRsKsBY4-HDlETqgBVTZUfqxnMMxn8HK7pOW8xH7VPE5vH7ew/s400/mdv_3.PNG" width="400" /></a></div>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhQ-CWlAqvpwbzEWsYA3sDaRaOGhL9u_9VdKsHtgnvVNCFtSZWMiU-rd7GhCvH4DUeBpd650r4HMM7zM7N64trHbWmkDjd9WN5AEDdKvmYX1eXcmksKboJEwyG0Xr7xkSWBRGLSXx3YdSUe/s1600/mdv_4.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="293" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhQ-CWlAqvpwbzEWsYA3sDaRaOGhL9u_9VdKsHtgnvVNCFtSZWMiU-rd7GhCvH4DUeBpd650r4HMM7zM7N64trHbWmkDjd9WN5AEDdKvmYX1eXcmksKboJEwyG0Xr7xkSWBRGLSXx3YdSUe/s400/mdv_4.PNG" width="400" /></a></div>
<div class="separator" style="clear: both; text-align: center;">
<br /></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEi7PNbeTytWtcjrYjdI-jspypt2PTqVeQz7Cv-_qGtpY7a0sbVcOfusCLhqcxaCv648tLp7uTalYSkNxtJYmcl0P_Q5uc4gFivAjHF7TNHxMRH5BS0nbjMR48J6nbpKBVuIR7AQKG7JSugF/s1600/mdv_5.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="295" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEi7PNbeTytWtcjrYjdI-jspypt2PTqVeQz7Cv-_qGtpY7a0sbVcOfusCLhqcxaCv648tLp7uTalYSkNxtJYmcl0P_Q5uc4gFivAjHF7TNHxMRH5BS0nbjMR48J6nbpKBVuIR7AQKG7JSugF/s400/mdv_5.PNG" width="400" /></a></div>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiLpbpq3VPdm5bftvcmkc3zLeBC9qfQHSINR88hrY5RFtRGabn24JQjNxToNef6xrGXUx_iLonqdYtsZxDWRbuzalv9nvqXIbEt6k73MA2mMkf267WmaTOCvAkVsW-mNiM6N35s03LY4PYm/s1600/mdv_6.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="303" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiLpbpq3VPdm5bftvcmkc3zLeBC9qfQHSINR88hrY5RFtRGabn24JQjNxToNef6xrGXUx_iLonqdYtsZxDWRbuzalv9nvqXIbEt6k73MA2mMkf267WmaTOCvAkVsW-mNiM6N35s03LY4PYm/s400/mdv_6.PNG" width="400" /></a></div>
<div class="separator" style="clear: both; text-align: center;">
<span style="background-color: white; color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif; font-size: 13.2px; line-height: 18.48px; text-align: left;"><br /></span></div>
<div class="separator" style="clear: both; text-align: center;">
<br /></div>
<span style="background-color: white; color: #666666; font-family: "trebuchet ms" , "trebuchet" , "verdana" , sans-serif; font-size: 13.2px; line-height: 18.48px;">Happy Reading ..!</span></div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com0tag:blogger.com,1999:blog-1546552085459850116.post-35610559763697641152016-06-22T02:26:00.001-07:002016-06-22T02:28:34.547-07:00The Overlooked Gems of UVM : UVM Report Catcher, UVM Heartbeat and UVM Events <div dir="ltr" style="text-align: left;" trbidi="on">
<div class="MsoNormal">
This paper is submitted for 53<sup>rd</sup> Design
Automation Conference , please find the slides for the same.<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEi82xUnAiHjVIQ9N96ksPNeUfhgMqc00ZTts8CvAxDETKd0rm3JpVjaa-pt-TvAeFK-EpdGByJ8foRutC2aPQ6aJnYlfyia9YxpI_pc26UafXcZ8S0smhXaWawt-xDPXACPgpNITHZplpcS/s1600/gem1.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="300" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEi82xUnAiHjVIQ9N96ksPNeUfhgMqc00ZTts8CvAxDETKd0rm3JpVjaa-pt-TvAeFK-EpdGByJ8foRutC2aPQ6aJnYlfyia9YxpI_pc26UafXcZ8S0smhXaWawt-xDPXACPgpNITHZplpcS/s400/gem1.PNG" width="400" /></a></div>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjgEXPB71p1A_-qYw1ID4UFPyb8T4FEAAQ9e-5MstbhzrgAA4Baa9uR7PSTp8jsLgmQvwmPXtLV-NxNSwf1RBVzkYXW18FrsN_aFjEMHKiaLX4NPQ8A-nQJ1wBi04YEAnRARTyLOuzskfU-/s1600/gem2.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="297" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjgEXPB71p1A_-qYw1ID4UFPyb8T4FEAAQ9e-5MstbhzrgAA4Baa9uR7PSTp8jsLgmQvwmPXtLV-NxNSwf1RBVzkYXW18FrsN_aFjEMHKiaLX4NPQ8A-nQJ1wBi04YEAnRARTyLOuzskfU-/s400/gem2.PNG" width="400" /></a></div>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiZigkzVFY4Pn4L9aNKl8o5u5fPCkAAxH7RdDYQMt8-kPc1COfWs-xzxqfZ2hlPq0y-TfvVpqmxZEp2jpBHQPvZtfxSaXTzZNY9xAbvkdzPVQJhdiPRbQO925uF69N2xqNhSQFMezjVXgJw/s1600/gem3.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="297" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiZigkzVFY4Pn4L9aNKl8o5u5fPCkAAxH7RdDYQMt8-kPc1COfWs-xzxqfZ2hlPq0y-TfvVpqmxZEp2jpBHQPvZtfxSaXTzZNY9xAbvkdzPVQJhdiPRbQO925uF69N2xqNhSQFMezjVXgJw/s400/gem3.PNG" width="400" /></a></div>
<div class="separator" style="clear: both; text-align: center;">
<br /></div>
<div class="MsoNormal">
<b style="text-indent: -0.25in;">1.<span style="font-size: 7pt; font-stretch: normal; font-weight: normal;"> </span></b><b style="text-indent: -0.25in;">Report catcher file.</b></div>
<div class="MsoNormal" style="text-indent: -.25in; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
class error_report_catcher_c extends uvm_report_catcher;<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
//new constructor<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
virtual function action_e catch();<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
if(get_severity() == UVM_ERROR && get_id() ==
"MON_CHK_NOT_VALID") begin<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
set_severity(UVM_INFO);<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
return CAUGHT;<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
end<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
else begin<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
return THROW;<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
end<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
endfunction<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
endclass : error_report_catcher_c<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal">
<b>2.Testcase<o:p></o:p></b></div>
<div class="MsoNormal" style="text-indent: -.25in; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
class invalid_test extends base_test_c;<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
//
report catcher to suppress errors<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
error_report_catcher_c error ;<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
///
\fn new_constructor<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
///
\fn build_phase<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
virtual
function void build_phase(uvm_phase phase);<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
super.build_phase(phase);<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
error = new();<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
uvm_report_cb::add(null,error) ;<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
uvm_config_db#(int)::set(this,“uvc.tx_agent","is_active",UVM_ACTIVE);<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
// User configurations<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
env_cfg.print();<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
uvm_config_db#(env_config_c)::set(this, "*" ,
“env_cfg", env_cfg);<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
// Calling the error sequence<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
uvm_config_db#(uvm_object_wrapper)::set(this,
“uvc.tx_agent.tx_sequencer.main_phase","default_sequence",valid_invalid_seq_c::type_id::get());<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
endfunction : build_phase<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
<div class="separator" style="clear: both;">
</div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
endclass : invalid_test<o:p></o:p></div>
<div style="direction: ltr; margin-bottom: 0pt; margin-top: 4.32pt; unicode-bidi: embed; vertical-align: baseline;">
<span style="font-family: "calibri"; font-size: 12pt;"><br /></span></div>
<div style="direction: ltr; margin-bottom: 0pt; margin-top: 4.32pt; unicode-bidi: embed; vertical-align: baseline;">
<br /></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhNyRWwBSxzznS639PEJ8-KLfx5hKq_9rDxEHObBBI23g1vm22QI8RqLlHsfkAeNtJVY1A9KwmjPTwnGbnlMN4N7kkFhsxzco86w6tJs4ny8CQTSoA2EvZ56xdzDm0sDGYMyOQXBN3GUswp/s1600/gem4.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="298" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhNyRWwBSxzznS639PEJ8-KLfx5hKq_9rDxEHObBBI23g1vm22QI8RqLlHsfkAeNtJVY1A9KwmjPTwnGbnlMN4N7kkFhsxzco86w6tJs4ny8CQTSoA2EvZ56xdzDm0sDGYMyOQXBN3GUswp/s400/gem4.PNG" width="400" /></a></div>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjWPS-NKQ-UA9lFp3eViQoVEMmDWr_0kIXBiQ6rxyULk_cBwUaBXFmOU6OPGxo8NPxBXnHdGX_SMwcURx2W3wsmI_VVrEqK3TpUEDXvRiHguKUkz9pK4WIP71MGel52YZib5499aVMAScN8/s1600/gem5.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="297" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjWPS-NKQ-UA9lFp3eViQoVEMmDWr_0kIXBiQ6rxyULk_cBwUaBXFmOU6OPGxo8NPxBXnHdGX_SMwcURx2W3wsmI_VVrEqK3TpUEDXvRiHguKUkz9pK4WIP71MGel52YZib5499aVMAScN8/s400/gem5.PNG" width="400" /></a></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
Typically an
ASIC or a SOC will have multiple resets and which adds other dimension to reset
verification wherein verification engineer need to ensure that the modules in
the chip react only to the desired resets and ignore others. On-the-fly reset
must be taken into account by all the modules of testbench and housekeeping
must be made accordingly. <o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
Figure I is
a representation of stimulus life-span and flow in a reset aware test-bench.
Apart from reset agent, the Verification environment has two other agents which
can be reset individually by applying agent1 reset or agent2 reset
respectively, or simultaneously by applying a global reset. Reset agent from
Figure I will be continuously monitoring the reset interface and triggers the
reset event on successful capture of any of the above mentioned resets. All
other components in the test bench will waiting for a respective reset even
trigger.<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
Here
is how the 4 major components Drive, Monitor, Scoreboard and Sequences must
behave on capturing the reset event:<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<b>Driver:</b> <o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
1) Must not
drive data under reset and wait until reset is removed. (t4 from figure 1)<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
2) Must
stop driving the bus and send item_done on reset application. (t4 from figure
1)<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
3) Must
complete the transaction if there was no reset while the transaction is in
progress.(t5 and t6 from figure 1)<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<b> Monitor</b>:
<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
1) Must be
monitoring the bus and trigger report error for conditions on bus which are not
expected under reset. (t4 from figure 1)<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
2) Must
treat the bus data as invalid if a reset is applied in between a transaction.
(t4 from figure 1)<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<b>Scoreboard</b>:
<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
1) On
application of the reset all the FIFO’s must be flushed. (t4 from figure 1)<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<b>Sequences</b>:
<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
1)
Immediately after reset the configuration sequence must be driven before
driving any other sequence. (t6 from figure 1)<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
Reset is an
important state of an IP and the test-bench needs to be designed to accommodate
and handle this state. Here are simple steps with code samples to make your
test-bench reset-aware:<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<b>Triggering
interrupts from sequences: </b><o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
As a part
of stimulus <o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<b>Triggering
a global reset event:</b><o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
global_reset_ev
= cfg.event_pool.get(“global_reset”);<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
global_reset_ev.trigger();<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<b>In case of
Agent1 reset: </b> <o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<b>Triggering
an Agent1 reset event:</b><o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
agent1_reset_ev
= cfg.event_pool.get(“agent1_reset”);
<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
agent1_reset_ev.trigger(); <o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<b>Triggering
an Agent2 reset event:</b><o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
agent2_reset_ev
= cfg.event_pool.get(“agent2_reset”);
<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
agent2_reset_ev.trigger(); <o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<b>In reset
aware components:</b> Components shall wait for respective interrupts and
implement their reset behavior upon successful reception of interrupts.<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
forever
begin : Reset_service<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
fork
: capture_reset<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
begin<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
agentX_reset_ev.wait_ptrigger;<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
end<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
begin<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
global_reset_ev.wait_ptrigger;<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
end<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
join<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
reset_procedure(); <o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
end<o:p></o:p></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal">
</div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
The
on-the-fly reset can be made more elegant by usage of customized uvm_phases,
this approach is out of this paper scope.</div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
Happy Reading ...!</div>
<div class="MsoNormal" style="margin-top: 4.3pt; vertical-align: baseline;">
<br /></div>
</div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com11tag:blogger.com,1999:blog-1546552085459850116.post-21723994078597308522016-06-20T03:48:00.000-07:002016-07-02T07:28:13.754-07:00VLSI Workshop<div dir="ltr" style="text-align: left;" trbidi="on">
<br />
I got an opportunity to speak with the budding engineers on VLSI and it's Job opportunities.<br />
<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhCDa8PMsHUmKnYZqF58n1d4pa4DFh3levLtsMdkAC5zpfhqfGIUWienVVacZmOD5EVGigg7Cmno9pQyT_fUVStOWJLapKWMlSVxrh3piy1LJlc4G49_1fmxwEEdzM3FwJq4CgK67qeBTOE/s1600/vlsi1.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="226" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhCDa8PMsHUmKnYZqF58n1d4pa4DFh3levLtsMdkAC5zpfhqfGIUWienVVacZmOD5EVGigg7Cmno9pQyT_fUVStOWJLapKWMlSVxrh3piy1LJlc4G49_1fmxwEEdzM3FwJq4CgK67qeBTOE/s400/vlsi1.PNG" width="400" /></a></div>
<br />
<br />
please click on the below link for the slides.<br />
<br />
<a href="https://www.slideshare.net/secret/BPu1v78E1UYGEc" target="_blank">Workshop Slides</a><br />
<br />
<b>Workshop Pics:</b><br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEifTI1W23c8UQr5GjtYxPVIgYTibhZD6nO_wEjLmqbywqLGlbfQX7GPvXN4ua-dWZzXbfNsijk6I-ihverSrEEb8CcnwN8CklvDj52NLWAkJANdR4eWAYKt5_vhUsInxZ9-M_YyR_ouIfRD/s1600/IMG-20151227-WA0015.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="213" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEifTI1W23c8UQr5GjtYxPVIgYTibhZD6nO_wEjLmqbywqLGlbfQX7GPvXN4ua-dWZzXbfNsijk6I-ihverSrEEb8CcnwN8CklvDj52NLWAkJANdR4eWAYKt5_vhUsInxZ9-M_YyR_ouIfRD/s320/IMG-20151227-WA0015.jpg" width="320" /></a></div>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhaRTnEmodBLPU0X2E_0bH6A_74gqu6itkLv4-DLYnVPKc_K14aZ0Eo3Vpdblvstn5HdM805pcGxmuuo3Q26KkaM5jxRbSet-bXgYrH_2gfsQt8llJ03AaPfG1fKAzv_YQ1-DpQ4-KFqlpG/s1600/IMG-20151227-WA0016.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="213" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhaRTnEmodBLPU0X2E_0bH6A_74gqu6itkLv4-DLYnVPKc_K14aZ0Eo3Vpdblvstn5HdM805pcGxmuuo3Q26KkaM5jxRbSet-bXgYrH_2gfsQt8llJ03AaPfG1fKAzv_YQ1-DpQ4-KFqlpG/s320/IMG-20151227-WA0016.jpg" width="320" /></a></div>
<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjEP3T-2IJtVG0l8v9zraGhyihYhEMmtzFB6y07Vs0je7wQ0o93x54iYLFThwv0kFVPOoS38A8YLGLW9YCqaNbhyphenhyphenr_snjs1M_ZjmchIwyicknSvY6O8lsA1nNS73S2-TIBV3xqGkF-u9Y9i/s1600/paper.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="219" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjEP3T-2IJtVG0l8v9zraGhyihYhEMmtzFB6y07Vs0je7wQ0o93x54iYLFThwv0kFVPOoS38A8YLGLW9YCqaNbhyphenhyphenr_snjs1M_ZjmchIwyicknSvY6O8lsA1nNS73S2-TIBV3xqGkF-u9Y9i/s320/paper.jpg" width="320" /></a></div>
<br />
<br />
Will upload the Audio soon.</div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com1tag:blogger.com,1999:blog-1546552085459850116.post-14032136951862209512016-06-14T23:54:00.001-07:002016-06-15T04:08:16.732-07:00Handshake Mechanism : Driver or Sequence?<div dir="ltr" style="text-align: left;" trbidi="on">
<div class="MsoNormal">
<br /></div>
<div style="background: white; margin-bottom: 11.25pt; margin-left: 0in; margin-right: 0in; margin-top: 11.25pt; text-align: justify; text-indent: .5in;">
<span style="font-family: "trebuchet ms" , sans-serif; text-indent: 0.5in;">In this article, I am
sharing my thoughts on whether the Response handling mechanism should be in
Driver or sequence?</span></div>
<div class="MsoNormal" style="line-height: 13.8pt; text-align: justify; text-indent: 0.5in;">
<span style="font-family: "trebuchet ms" , sans-serif;"><span lang="EN-GB" style="font-size: 12pt;">The most
common form of sequence - driver use models is the scenario where the sequencer
sends sequence item to the driver, which process the item to the pin
level protocol format and also the driver needs to respond to the
pin-level information or send back the response to the sequence.<u1:p></u1:p></span><span lang="EN-GB" style="font-size: 12pt;"><o:p></o:p></span></span></div>
<div class="MsoNormal" style="line-height: 13.8pt; text-align: justify; text-indent: 0.5in;">
<span lang="EN-GB" style="font-family: "trebuchet ms" , sans-serif; font-size: 12pt;"><br /></span></div>
<div style="background: white; margin-bottom: .0001pt; margin: 0in; text-align: justify; text-indent: .5in;">
<span style="font-family: "trebuchet ms" , sans-serif; font-size: 11pt;">
<span style="background-attachment: initial; background-clip: initial; background-image: initial; background-origin: initial; background-position: initial; background-repeat: initial; background-size: initial;"><u1:p></u1:p></span></span></div>
<div style="-webkit-text-stroke-width: 0px; background: white; margin-bottom: .0001pt; margin: 0in; orphans: auto; text-align: justify; text-indent: .5in; widows: 1; word-spacing: 0px;">
<span style="color: black; font-family: "papyrus"; mso-bidi-font-family: "Arial Unicode MS"; mso-fareast-font-family: "Arial Unicode MS";"><span style="font-family: "trebuchet ms" , sans-serif;">For
example as shown in below figure the Protocol requires an ACK/NACK handshake
from DUT after every 8 bits of data. Depending upon the Response the next set of action is taken place.</span><span style="font-family: "papyrus";"><u1:p></u1:p></span></span><span style="color: black; font-family: "papyrus";"><o:p></o:p></span></div>
<div style="background: white; margin-bottom: .0001pt; margin: 0in; text-align: justify; text-indent: .5in;">
<span style="font-family: "arial unicode ms" , sans-serif; font-size: 11pt;"><o:p> </o:p></span><span style="font-family: "arial unicode ms" , sans-serif; font-size: 11pt; text-indent: 0.5in;"> </span></div>
<div style="background: white; margin-bottom: .0001pt; margin: 0in; text-align: justify; text-indent: .5in;">
<span style="font-family: "arial unicode ms" , sans-serif; font-size: 11pt;"><o:p></o:p></span></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEimdefFQKQokcJvJ1lvEuHQeq3JRnR4KP9maGDs8JEe07YR6ZqyclUSfTpuPljqvx3R1VR7DW2JkT7y7rrRKGOTIjXv9TOpRKWZjdhjhNE9GJ39rfjjYqYpAmxlwK5kaiOfM99YtIJnAhlF/s1600/fig1.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="145" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEimdefFQKQokcJvJ1lvEuHQeq3JRnR4KP9maGDs8JEe07YR6ZqyclUSfTpuPljqvx3R1VR7DW2JkT7y7rrRKGOTIjXv9TOpRKWZjdhjhNE9GJ39rfjjYqYpAmxlwK5kaiOfM99YtIJnAhlF/s400/fig1.png" width="400" /></a></div>
<br />
<h1 align="center" style="mso-list: none; text-align: center;">
<a href="https://www.blogger.com/null" name="_Toc405843111" style="text-align: left;"><span lang="EN-GB" style="font-family: "arial unicode ms" , sans-serif; font-size: 11pt; line-height: 106%;"></span></a><a href="https://www.blogger.com/null" name="_Toc405843059"></a></h1>
<h1 align="center" style="display: inline !important; text-align: center;">
<span style="font-size: 16px; font-stretch: normal; line-height: 17.12px;"><span style="font-family: "papyrus";"> </span></span><span style="font-family: "times new roman"; font-size: 7pt; font-stretch: normal; font-weight: normal; line-height: normal;"> </span><span style="font-family: "trebuchet ms" , sans-serif;"><span style="font-size: 7pt; font-stretch: normal; font-weight: normal; line-height: normal;"> </span><span style="font-size: 12pt; line-height: 107%;">Figure 1: Serial
Protocol </span></span></h1>
<div>
<div style="text-align: center;">
<span style="font-family: "papyrus";"><span style="line-height: 17.12px;"><b><br /></b></span></span></div>
<div>
<a href="https://www.blogger.com/null" name="_Toc405843111"><span lang="EN-GB" style="font-family: "arial unicode ms" , sans-serif; font-size: 11pt; line-height: 106%;">
<u1:p></u1:p></span></a></div>
<div class="MsoNormal" style="line-height: 115%; text-align: justify;">
<span lang="EN-GB" style="font-family: "arial unicode ms" , sans-serif;"> </span><span style="font-family: "arial unicode ms" , sans-serif; line-height: 115%; text-indent: 0.5in;"> </span><span style="font-family: "trebuchet ms" , sans-serif;"><span style="line-height: 115%; text-indent: 0.5in;"> </span><span style="background-color: white; text-indent: 0.5in;">For the above protocol
format the state Machine logic is implemented in Driver. It is easy to have
such a logic in driver than sequence. Let us discuss in detail.</span></span></div>
<div style="background: white; margin-bottom: 11.25pt; margin-left: 0in; margin-right: 0in; margin-top: 11.25pt; text-align: justify; text-indent: .5in;">
<span style="font-family: "papyrus";"><u1:p></u1:p><o:p></o:p></span></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjL4iER7xaue4V9nKW7FyOnRKSiVTeS7N-0LtI3AxpNUEL1OJTCfElFFcJEZ5Bnfb9noZlXnOicskErAytpkojXlWzKbclEcHnBD3ZeEtDyzismwRCTTsysWTDxM7uoAA1WBcp0df_ggJsH/s1600/fig2.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="275" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjL4iER7xaue4V9nKW7FyOnRKSiVTeS7N-0LtI3AxpNUEL1OJTCfElFFcJEZ5Bnfb9noZlXnOicskErAytpkojXlWzKbclEcHnBD3ZeEtDyzismwRCTTsysWTDxM7uoAA1WBcp0df_ggJsH/s400/fig2.png" width="400" /></a></div>
<h1 align="center" style="mso-list: none; text-align: center; text-indent: 0in;">
<span lang="EN-GB" style="font-size: 11pt; line-height: 106%;"><div style="background-attachment: initial; background-clip: initial; background-color: white; background-image: initial; background-origin: initial; background-position: initial; background-repeat: initial; background-size: initial; display: inline; margin: 11.25pt 0in; text-align: justify; text-indent: 0.5in;">
<div style="text-align: center;">
<span style="font-family: "trebuchet ms" , sans-serif;">Figure 2: State Machine
Logic Implemented in Driver</span></div>
</div>
</span></h1>
<div>
<a href="https://www.blogger.com/null" name="_Toc405843112"><span lang="EN-GB" style="font-family: "arial unicode ms" , sans-serif; font-size: 11pt; line-height: 106%;">
<u1:p></u1:p>
</span></a><br />
<div style="background: white; margin: 11.25pt 0in; text-align: justify; text-indent: 0.5in;">
<span lang="EN-GB" style="font-size: 11pt; line-height: 106%;"><span style="font-family: "trebuchet ms" , sans-serif;"> After analyzing, it was decided that for this protocol format the Response handling
mechanism should be in Driver than in sequence because of the following
Reasons.<o:p></o:p></span></span></div>
<a href="https://www.blogger.com/null" name="_Toc405843112"><span lang="EN-GB" style="font-family: "trebuchet ms" , sans-serif; font-size: 11pt; line-height: 106%;">
</span></a>
<br />
<div style="background: white; margin: 11.25pt 0in; text-align: justify; text-indent: 0.5in;">
<span style="font-family: "trebuchet ms" , sans-serif;"><b>1)</b><span style="font-stretch: normal;"> </span>If we
have Response logic in sequence, the user who uses the VIP has to have
in-depth protocol Knowledge in writing sequences/test cases and sequence looks more complex and takes time in coding/debugging them.</span><a href="https://www.blogger.com/null" name="_Toc405843112" style="background-color: transparent; text-align: left;"><span lang="EN-GB" style="color: windowtext; font-size: 11pt; line-height: 106%;"></span></a></div>
<div style="background: white; display: inline !important; margin: 11.25pt 0in; text-align: justify; text-indent: 0.5in;">
<span style="font-family: "papyrus";"><o:p> </o:p></span></div>
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjQoCeG7w0q0mkBcUkuyuZMGcSfLYWtssY8CBCLdwwdE7RAJ68Mz5pUYYlqG9wPpAsO4_xQDkXIu55FmtOB0xOL4-kz0orTYy0mmJKb9DNpVt10IlKBgT38OhyphenhyphenRxQMZcI-TXEdoK95Vcnq0/s1600/fig3.png" imageanchor="1" style="margin-left: 1em; margin-right: 1em; text-align: center;"><img border="0" height="100" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjQoCeG7w0q0mkBcUkuyuZMGcSfLYWtssY8CBCLdwwdE7RAJ68Mz5pUYYlqG9wPpAsO4_xQDkXIu55FmtOB0xOL4-kz0orTYy0mmJKb9DNpVt10IlKBgT38OhyphenhyphenRxQMZcI-TXEdoK95Vcnq0/s400/fig3.png" width="400" /></a></div>
<div style="background: white; margin: 11.25pt 0in; text-align: center; text-indent: 0.5in;">
<span style="font-family: "trebuchet ms" , sans-serif;"><b>Figure 3:</b> <b>Handshake Mechanism: Response Control in Sequence</b><o:p></o:p></span></div>
<div style="background: white; margin: 11.25pt 0in; text-align: justify; text-indent: 0.5in;">
<span style="font-family: "trebuchet ms" , sans-serif;"><br /></span></div>
<div style="background: white; margin: 11.25pt 0in; text-align: justify; text-indent: 0.5in;">
<span style="font-family: "trebuchet ms" , sans-serif;"><span style="text-indent: 0.5in;"><b>2)</b></span><span style="font-stretch: normal; text-indent: 0.5in;"> </span><span style="text-indent: 0.5in;">If we have Response logic in Driver, coding the sequence will become simpler but the driver logic is complex and sometimes we may require more flags to control the logic which will be bit confusing.</span></span></div>
<div style="background: white; margin: 11.25pt 0in; text-align: center; text-indent: 0.5in;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiMq9q0Io1m5OIfWMVng3VplWH9NDBh9DsvkWDpAnnJRruwGDkLWHZKD758AAH8uz9NhSmTCbYBV1fD81fbJ0FO15OnTa5Zto0QsTrMGmrSzUBbwCQUi-szgNpvrz2mADQr5OOgwVet8oRd/s1600/fig4.png" imageanchor="1" style="background-color: transparent; margin-left: 1em; margin-right: 1em; text-align: center;"><img border="0" height="100" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiMq9q0Io1m5OIfWMVng3VplWH9NDBh9DsvkWDpAnnJRruwGDkLWHZKD758AAH8uz9NhSmTCbYBV1fD81fbJ0FO15OnTa5Zto0QsTrMGmrSzUBbwCQUi-szgNpvrz2mADQr5OOgwVet8oRd/s400/fig4.png" width="400" /></a></div>
<div class="MsoNormal" style="line-height: 115%; text-align: justify;">
<br /></div>
<div class="MsoNormal" style="line-height: 115%; text-align: left;">
<b style="background-color: white; font-family: Papyrus; line-height: normal; text-align: center; text-indent: 48px;"> </b><span style="font-family: "trebuchet ms" , sans-serif;"><b style="background-color: white; line-height: normal; text-align: center; text-indent: 48px;"> Figure 4:</b><span style="background-color: white; line-height: normal; text-align: center; text-indent: 48px;"><b> Handshake Mechanism: Response Control in Driver</b></span></span></div>
<div class="MsoNormal" style="line-height: 115%; text-align: left;">
<span lang="EN-GB" style="font-family: "trebuchet ms" , sans-serif;"><br /></span></div>
<div class="MsoNormal" style="line-height: 115%; text-align: left;">
<span lang="EN-GB" style="font-family: "arial unicode ms" , sans-serif;"><a href="https://www.blogger.com/null" name="_Toc405843112" style="line-height: normal;"><span lang="EN-GB" style="font-family: "trebuchet ms" , sans-serif; font-size: 11pt; line-height: 15.5467px;"></span></a></span></div>
<div style="background: white; margin: 11.25pt 0in; text-align: justify; text-indent: 0.5in;">
<span style="font-family: "trebuchet ms" , sans-serif;">A good VIP implementation shall consider such scenarios and arrive at a trade-off based on the protocol requirements.</span></div>
</div>
</div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com0tag:blogger.com,1999:blog-1546552085459850116.post-91896082665125344862016-05-30T00:06:00.001-07:002016-05-30T03:33:26.848-07:00System Verilog : Soft Constraints<div dir="ltr" style="text-align: left;" trbidi="on">
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
Soft constraints are
default constraints which hold true until contradicted by another similar
constraint.</div>
<div class="MsoNormal">
<br /></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEimiU9DcWqfctmF89ZcECpoHz7wRA3dl4sqrKGlU0QTgen0u7skLsRV5HM2s7m50gifHifcGuR_beFKP0Rb0zm2UGTmHZsbrEpRI3QmzqswVINkhuBu00cj4TIBIvQYRVaE8zUndnD27ZS1/s1600/soft.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="110" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEimiU9DcWqfctmF89ZcECpoHz7wRA3dl4sqrKGlU0QTgen0u7skLsRV5HM2s7m50gifHifcGuR_beFKP0Rb0zm2UGTmHZsbrEpRI3QmzqswVINkhuBu00cj4TIBIvQYRVaE8zUndnD27ZS1/s320/soft.jpg" width="320" /></a></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
Let us understand this concept with the
following examples.<o:p></o:p></div>
<div class="MsoNormal">
<b style="text-indent: -0.25in;"><br /></b>
<b style="text-indent: -0.25in;">1.<span style="font-size: 7pt; font-stretch: normal; font-weight: normal;"> </span></b><b style="text-indent: -0.25in;">We have a packet class with address variable,
which is limited in between 10 and 100.</b></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
class packet;<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
rand bit[7:0]
address;<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
constraint address_c
{ <o:p></o:p></div>
<div class="MsoNormal">
address inside
{[10:100]};<o:p></o:p></div>
<div class="MsoNormal">
}<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
endclass<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
program test();<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
packet p;<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
initial begin<o:p></o:p></div>
<div class="MsoNormal">
p=new();<o:p></o:p></div>
<div class="MsoNormal">
assert(p.randomize());<o:p></o:p></div>
<div class="MsoNormal">
$display("address value is %0d" , p.address);<o:p></o:p></div>
<div class="MsoNormal">
end<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
endprogram<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
<b>Output:</b> address value is 53<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
The output is 53 which is in between 10 and 100.<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
<b style="text-indent: -0.25in;">2. <span style="font-size: 7pt; font-stretch: normal; font-weight: normal;"> </span></b><b style="text-indent: -0.25in;">Let us apply a inline constraint stating
that address should be equal to 200.</b></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
class packet;<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
rand bit[7:0]
address;<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
constraint address_c
{ <o:p></o:p></div>
<div class="MsoNormal">
address inside
{[10:100]};<o:p></o:p></div>
<div class="MsoNormal">
}<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
endclass<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
program test();<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
packet p;<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
initial begin<o:p></o:p></div>
<div class="MsoNormal">
p=new();<o:p></o:p></div>
<div class="MsoNormal">
assert(p.randomize() with { p.address==200;} );<o:p></o:p></div>
<div class="MsoNormal">
$display("address value is %0d" , p.address);<o:p></o:p></div>
<div class="MsoNormal">
end<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
endprogram<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
<b>Output:</b> Error<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
Error-[CNST-CIF] Constraints inconsistency failure<br />
<span style="box-sizing: content-box;">testbench.sv, 19<br />
<span style="box-sizing: content-box;"> Constraints are inconsistent and cannot be
solved.<br />
<span style="box-sizing: content-box;"> Please check the inconsistent constraints
being printed above and rewrite <br />
<span style="box-sizing: content-box;"> them.<br />
<br style="box-sizing: content-box;" />
<span style="box-sizing: content-box;">"testbench.sv", 19:
test.unnamed$$_3.unnamed$$_1: started at 0ns failed at 0ns<br />
<span style="box-sizing: content-box;">Offending 'p.randomize() with {<br />
<span style="box-sizing: content-box;">(p.address == 8'hc8);<br />
<span style="box-sizing: content-box;">}<br />
<span style="box-sizing: content-box;">'<br />
<span style="box-sizing: content-box;">address value is 0<br />
<!--[if !supportLineBreakNewLine]--><br />
<!--[endif]--><o:p></o:p></span></span></span></span></span></span></span></span></span></span></div>
<div class="MsoNormal">
The output is 0, here the randomization failed due to
constraint conflict i.e. we have given an inline value for address which is out
of the range i.e. in between 10 to 100, in such scenarios we need to switch off
the corresponding constraints as shown below.<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
<o:p> </o:p>class packet;</div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
rand bit[7:0]
address;<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
constraint address_c
{ <o:p></o:p></div>
<div class="MsoNormal">
address inside
{[10:100]};<o:p></o:p></div>
<div class="MsoNormal">
}<o:p></o:p></div>
<div class="MsoNormal">
Which <o:p></o:p></div>
<div class="MsoNormal">
endclass<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
program test();<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
packet p;<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
initial begin<o:p></o:p></div>
<div class="MsoNormal">
p=new();<o:p></o:p></div>
<div class="MsoNormal">
p.address_c.constraint_mode(0);<o:p></o:p></div>
<div class="MsoNormal">
assert(p.randomize()
with { p.address==200;} );<o:p></o:p></div>
<div class="MsoNormal">
$display("address value is %0d" , p.address);<o:p></o:p></div>
<div class="MsoNormal">
end<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
endprogram<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
<b>output:</b> address
value is 200<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
<b style="text-indent: -0.25in;">3.<span style="font-size: 7pt; font-stretch: normal; font-weight: normal;"> </span></b><b style="text-indent: -0.25in;">Let us change the code slightly and use
soft keyword before address variable in constraint block as shown below.</b></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
class packet;<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
rand bit[7:0]
address;<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
constraint address_c
{ <o:p></o:p></div>
<div class="MsoNormal">
soft address
inside {[10:100]};<o:p></o:p></div>
<div class="MsoNormal">
}<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
endclass<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
program test();<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
packet p;<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
initial begin<o:p></o:p></div>
<div class="MsoNormal">
p=new();<o:p></o:p></div>
<div class="MsoNormal">
//p.address_c.constraint_mode(0);<o:p></o:p></div>
<div class="MsoNormal">
assert(p.randomize() with { p.address==101;} );<o:p></o:p></div>
<div class="MsoNormal">
$display("address value is %0d" , p.address);<o:p></o:p></div>
<div class="MsoNormal">
end<o:p></o:p></div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
endprogram<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
<b>output:</b> address
value is 101<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
In the above code there is no need to use additional
constructs like constraint_mode(0) , here the inline constraint of {p.address
== 101 } will have the priority and the solver solves this instead of above
declarative constraint ( constraint address_c { soft address inside {[10:100]}; } ) <o:p></o:p><br />
<br />
These soft constraints can be used to check the error/illegal scenarios.</div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
So the output here is
101.<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
Code Link : <a href="http://www.edaplayground.com/x/59M8">http://www.edaplayground.com/x/59M8</a><o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
Happy Learning.<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
Please provide your feedback if any.<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
Thanks,<o:p></o:p></div>
<div class="MsoNormal">
Vikas Billa <o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="MsoNormal">
<br /></div>
</div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com3tag:blogger.com,1999:blog-1546552085459850116.post-79594265844764642222016-03-31T03:06:00.000-07:002016-03-31T03:06:13.319-07:00DVCON-16 Paper : A 360 Degree View of UVM Events : Presentation Slides<div dir="ltr" style="text-align: left;" trbidi="on">
<b><span style="background-color: white; color: #666666; font-family: 'trebuchet ms', sans-serif; font-size: 13.2px; line-height: 1.4;">Paper</span><span style="background-color: white; color: #666666; font-family: 'trebuchet ms', sans-serif; font-size: 13.2px; line-height: 1.4;">: </span></b><span style="background-color: white; color: #666666; font-family: 'trebuchet ms', sans-serif; font-size: 13.2px; line-height: 18.48px;"><b> A 360 Degree View of UVM Events</b></span><br />
<span style="background-color: white; color: #666666; font-family: 'trebuchet ms', sans-serif; font-size: 13.2px; line-height: 18.48px;"><b><br /></b></span>
<span style="background-color: white; color: #666666; font-family: 'trebuchet ms', sans-serif; font-size: 13.2px; line-height: 18.48px;">Presenting you the highlight slides for reference.</span><br />
<span style="background-color: white; color: #666666; font-family: 'trebuchet ms', sans-serif; font-size: 13.2px; line-height: 18.48px;"><br /></span>
<span style="background-color: white; color: #666666; font-family: 'trebuchet ms', sans-serif; font-size: 13.2px; line-height: 18.48px;">For full paper and presentation slide please do write to us.</span><br />
<br />
<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj02XdNOedANsn34yE289yhTTT_TQ3YCNPMvIMRGqIdtuL9dA7PON8fa_s1ssemHqgC9-zVLiE8Yu4JtIbWNGlvIcavMmT1Us-YxPXD79g9v9TQ4kwyly2UkWwgrxeWlSAPKr7fnz4Yg6yV/s1600/1.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="275" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj02XdNOedANsn34yE289yhTTT_TQ3YCNPMvIMRGqIdtuL9dA7PON8fa_s1ssemHqgC9-zVLiE8Yu4JtIbWNGlvIcavMmT1Us-YxPXD79g9v9TQ4kwyly2UkWwgrxeWlSAPKr7fnz4Yg6yV/s400/1.PNG" width="400" /></a></div>
<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj2Nvg_dHgeJYHi03b4Y6IGy59UdKwl5YWHx-2JFa_gMa5L-LiHJY9fqq-aAoAyhqvaQVVMGEruABXGo7NQPTvqModPgqsEPLYJWpI3yuJr-w7uoPdtl05jCggb-hDEPehT3KVqhPz05ZJo/s1600/2.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="272" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj2Nvg_dHgeJYHi03b4Y6IGy59UdKwl5YWHx-2JFa_gMa5L-LiHJY9fqq-aAoAyhqvaQVVMGEruABXGo7NQPTvqModPgqsEPLYJWpI3yuJr-w7uoPdtl05jCggb-hDEPehT3KVqhPz05ZJo/s400/2.PNG" width="400" /></a></div>
<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEguiXajTwGB3xnV6CVhLlcGmsd7xvKon1WHY5JncIyPT-x8_RDxL7UJaV5IhBT13SQKDIJm-isnJS1nIS09cHtAfkbrAAPOUaDdd6hhrgR7sTWx-z9aW3vmQqeWAsI23EOWZZeWch37Ddep/s1600/3.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="273" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEguiXajTwGB3xnV6CVhLlcGmsd7xvKon1WHY5JncIyPT-x8_RDxL7UJaV5IhBT13SQKDIJm-isnJS1nIS09cHtAfkbrAAPOUaDdd6hhrgR7sTWx-z9aW3vmQqeWAsI23EOWZZeWch37Ddep/s400/3.PNG" width="400" /></a></div>
<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhaCmrHXiugv3FE4VAPBU0dSncvXCX_rrIshpFJsyyK48eH63TalrYEYhZKPNB3ZWEBbnz97xu1o6oHa7HfD1RA3K2NfeLnYTunzkNgNwlz0Ci3N6I51smbON7xhQZxyD_HJQf9Y1wuR4fQ/s1600/4.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="273" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhaCmrHXiugv3FE4VAPBU0dSncvXCX_rrIshpFJsyyK48eH63TalrYEYhZKPNB3ZWEBbnz97xu1o6oHa7HfD1RA3K2NfeLnYTunzkNgNwlz0Ci3N6I51smbON7xhQZxyD_HJQf9Y1wuR4fQ/s400/4.PNG" width="400" /></a></div>
<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgC1G4W-8Zwt7ud4UOjKZlTo5fYWMUK6Zv3arsP04YeJlG5jBi5lCVGAxNFG9tISsTr4mQO-wKtBJ-DZgF2MEOpvQyIJP_tGHo1pszwboKsYgZk3tUnDtEddz4PBwelPUIQMYUO8fDdy2pf/s1600/6.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="273" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgC1G4W-8Zwt7ud4UOjKZlTo5fYWMUK6Zv3arsP04YeJlG5jBi5lCVGAxNFG9tISsTr4mQO-wKtBJ-DZgF2MEOpvQyIJP_tGHo1pszwboKsYgZk3tUnDtEddz4PBwelPUIQMYUO8fDdy2pf/s400/6.PNG" width="400" /></a></div>
<div class="separator" style="clear: both; text-align: center;">
<br /></div>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEir13k-DI9AlZlB3lB-oXY8evQ2CdkeQz_fS72-_D3eeMP7iufD-GylQB60wZOevPNNsI-ycMcaE1nHAFlc20Y2UZ2BlIaMKtN_nv77m9RBXnp8SvgSjWhEqLlZRAYtg9QqQFN66rZBkyqy/s1600/7.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="275" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEir13k-DI9AlZlB3lB-oXY8evQ2CdkeQz_fS72-_D3eeMP7iufD-GylQB60wZOevPNNsI-ycMcaE1nHAFlc20Y2UZ2BlIaMKtN_nv77m9RBXnp8SvgSjWhEqLlZRAYtg9QqQFN66rZBkyqy/s400/7.PNG" width="400" /></a></div>
<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj95n4C4IUL07B_uTWpQIFgSm6S5U7vmmMa0gJM9btItUCOyB1KdzD0mrl4Vd5_PPUsQOqUrTaxhh_DgqcLzPOgwvZtBsyvGszgvvSER9QTtLtBDlDK_zdBxLiy09fWu_BT5xpFgrKDtWm-/s1600/10.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="273" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj95n4C4IUL07B_uTWpQIFgSm6S5U7vmmMa0gJM9btItUCOyB1KdzD0mrl4Vd5_PPUsQOqUrTaxhh_DgqcLzPOgwvZtBsyvGszgvvSER9QTtLtBDlDK_zdBxLiy09fWu_BT5xpFgrKDtWm-/s400/10.PNG" width="400" /></a></div>
<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhRBVZIqg-IKiv_SkOD3efLGULBKgo1p1g7q-C32WakOzQ23Z-5Ev5Agrj3idt44qfWTlG5sm3CnKh0Yu9lBV_qHSTWd1KvVBr9tvDnXqEWGRHC2lCcgG3rv9jVziEqjEs29_ncEbh1Gc-Z/s1600/11.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="273" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhRBVZIqg-IKiv_SkOD3efLGULBKgo1p1g7q-C32WakOzQ23Z-5Ev5Agrj3idt44qfWTlG5sm3CnKh0Yu9lBV_qHSTWd1KvVBr9tvDnXqEWGRHC2lCcgG3rv9jVziEqjEs29_ncEbh1Gc-Z/s400/11.PNG" width="400" /></a></div>
<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhEL48J61GVuFr-EZ2W78oS_MFWOzP9PE5Vqz_nZj9V2Wp0-gA1H7nqhbDQ4-Oij20S88uyvV37lbYonRbD2hh4wWsocT4qQoKPipvtnOzEsMx622JMOxSM4J7x7yYhRh6vga_jHSw5gLEV/s1600/12.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="275" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhEL48J61GVuFr-EZ2W78oS_MFWOzP9PE5Vqz_nZj9V2Wp0-gA1H7nqhbDQ4-Oij20S88uyvV37lbYonRbD2hh4wWsocT4qQoKPipvtnOzEsMx622JMOxSM4J7x7yYhRh6vga_jHSw5gLEV/s400/12.PNG" width="400" /></a></div>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhzT-m96Eit11Hg-4n2oOIBRN8gOsxJXArVxxpXySKD-TmEPzyw3YMKlnASoolds5cq6i3I1reUfi0shIdpf9G4M9PdlurnnszbuH8oM-7QxB2iPaNUgOuq6T1cBppEvZYK2pTFJM80VBZi/s1600/13.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="276" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhzT-m96Eit11Hg-4n2oOIBRN8gOsxJXArVxxpXySKD-TmEPzyw3YMKlnASoolds5cq6i3I1reUfi0shIdpf9G4M9PdlurnnszbuH8oM-7QxB2iPaNUgOuq6T1cBppEvZYK2pTFJM80VBZi/s400/13.PNG" width="400" /></a></div>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEi_nNHwJGtjX80zyXTi6PXviQYkQXN0Dgh6vzD7MQGvbYkXeGVT5GIOaumRXn4b0-A4TD9dl8OsIEYwtWyGJ2zFkt8VXt_vjUBgW3YldfMhoL7D83lIzvIrzu9Cybst2rfPGa5kIKgAuCbL/s1600/15.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="276" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEi_nNHwJGtjX80zyXTi6PXviQYkQXN0Dgh6vzD7MQGvbYkXeGVT5GIOaumRXn4b0-A4TD9dl8OsIEYwtWyGJ2zFkt8VXt_vjUBgW3YldfMhoL7D83lIzvIrzu9Cybst2rfPGa5kIKgAuCbL/s400/15.PNG" width="400" /></a></div>
<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjJ0ageCD_T63tzU8N2Bb2dCfvARpU07CWdza2-jU1gS9Ueuia0vkmn2N1dkZsu0cmE9mR8Lf0-sdVpFvwMFpTk2DMWFjsz8VpVWTjjRVnRlbRGE0_oKUU4R3FRr8mOeoX-4n4Coe4lROh0/s1600/18.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="272" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjJ0ageCD_T63tzU8N2Bb2dCfvARpU07CWdza2-jU1gS9Ueuia0vkmn2N1dkZsu0cmE9mR8Lf0-sdVpFvwMFpTk2DMWFjsz8VpVWTjjRVnRlbRGE0_oKUU4R3FRr8mOeoX-4n4Coe4lROh0/s400/18.PNG" width="400" /></a></div>
<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgbCBFS3kCCm5-jlXc8ZsDgghjXYZNbZ7cRLEora_SEHKY9OZ0YiiGX6PT2x6Ei3tw3j1wXRrUESN-BpI7lklv2kvlFzVm1opwFkFdzSzEu4NCuzpuV1xTlwSiN_0Rp6UPRMJnxkhxEZcDu/s1600/19.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="272" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgbCBFS3kCCm5-jlXc8ZsDgghjXYZNbZ7cRLEora_SEHKY9OZ0YiiGX6PT2x6Ei3tw3j1wXRrUESN-BpI7lklv2kvlFzVm1opwFkFdzSzEu4NCuzpuV1xTlwSiN_0Rp6UPRMJnxkhxEZcDu/s400/19.PNG" width="400" /></a></div>
<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgm0KNwyCxGCNPmodNxePrC5SZ61DrLvqYwonOAdvNJP1US9-GnmDjJNM50d1u5HPM46NRys1j5247HSLY2qXeEsBdar5iLZ6rLOusibUQpmwgaAjfDgpHFogBireAfkxTeRrxQ7fIryuSM/s1600/20.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="273" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgm0KNwyCxGCNPmodNxePrC5SZ61DrLvqYwonOAdvNJP1US9-GnmDjJNM50d1u5HPM46NRys1j5247HSLY2qXeEsBdar5iLZ6rLOusibUQpmwgaAjfDgpHFogBireAfkxTeRrxQ7fIryuSM/s400/20.PNG" width="400" /></a></div>
<div class="separator" style="clear: both; text-align: center;">
<br /></div>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjM4j6TlJ_qkpECw8tlq4bws17CZv7MYFVTnU61wQlyyoS5euWOsCYwubK93AZWN2FMCzcmVyFxOBgcl3SGsDVWDZcwGV1Fn1p2776xbIlcBkB-b2RhYAbCe3tcHfe5yxAVe_uulejrzoME/s1600/25.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="273" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjM4j6TlJ_qkpECw8tlq4bws17CZv7MYFVTnU61wQlyyoS5euWOsCYwubK93AZWN2FMCzcmVyFxOBgcl3SGsDVWDZcwGV1Fn1p2776xbIlcBkB-b2RhYAbCe3tcHfe5yxAVe_uulejrzoME/s400/25.PNG" width="400" /></a></div>
<br /></div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com6tag:blogger.com,1999:blog-1546552085459850116.post-40715333373489264862016-02-27T05:05:00.001-08:002016-02-27T06:12:54.792-08:00Solar System and Verification IP<div dir="ltr" style="text-align: left;" trbidi="on">
<div class="MsoNormal">
The right process for a verification IP development is planning,
development and closure. These steps are compared with the planets of a solar
system. Each step in VIP development is assigned with a planet as explained below.<o:p></o:p></div>
<div class="MsoNormal">
<br /></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj7FUgmm0SRM95d4VOGL713iowfSmNiOHUIxIN2silhRo6fFGLKlLcmFEBH8OgKpMsv5Wq7vFmD5kJUNKmFcqXPGaBWeHkt_UwtkLjMA4DN_ABL4N-iEI77rZ05AQQ0ZmnrmGc3kUz3Xhtx/s1600/chibi_solar_system_by_naarazheng-d6qekuv.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="185" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj7FUgmm0SRM95d4VOGL713iowfSmNiOHUIxIN2silhRo6fFGLKlLcmFEBH8OgKpMsv5Wq7vFmD5kJUNKmFcqXPGaBWeHkt_UwtkLjMA4DN_ABL4N-iEI77rZ05AQQ0ZmnrmGc3kUz3Xhtx/s400/chibi_solar_system_by_naarazheng-d6qekuv.jpg" width="400" /></a></div>
<div align="center" class="MsoNormal" style="text-align: center;">
<b>Figure 1:</b> Solar System<o:p></o:p></div>
<div align="center" class="MsoNormal" style="text-align: center;">
<br /></div>
<div align="center">
<table border="1" cellpadding="0" cellspacing="0" class="MsoTableGrid" style="border-collapse: collapse; border: none; mso-border-alt: solid windowtext .5pt; mso-padding-alt: 0in 5.4pt 0in 5.4pt; mso-yfti-tbllook: 1184;">
<tbody>
<tr>
<td style="border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 116.75pt;" valign="top" width="156"><div align="center" class="MsoNormal" style="margin-bottom: 0.0001pt; text-align: center;">
<b>Solar
System<o:p></o:p></b></div>
</td>
<td style="border-left: none; border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; mso-border-left-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 238.5pt;" valign="top" width="318"><div align="center" class="MsoNormal" style="margin-bottom: 0.0001pt; text-align: center;">
<b>Verification
IP<o:p></o:p></b></div>
</td>
</tr>
<tr>
<td style="border-top: none; border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 116.75pt;" valign="top" width="156"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Sun<o:p></o:p></div>
</td>
<td style="border-bottom: solid windowtext 1.0pt; border-left: none; border-right: solid windowtext 1.0pt; border-top: none; mso-border-alt: solid windowtext .5pt; mso-border-left-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 238.5pt;" valign="top" width="318"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
DUT<o:p></o:p></div>
</td>
</tr>
<tr>
<td style="border-top: none; border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 116.75pt;" valign="top" width="156"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Mercury<o:p></o:p></div>
</td>
<td style="border-bottom: solid windowtext 1.0pt; border-left: none; border-right: solid windowtext 1.0pt; border-top: none; mso-border-alt: solid windowtext .5pt; mso-border-left-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 238.5pt;" valign="top" width="318"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Verification Plan<o:p></o:p></div>
</td>
</tr>
<tr>
<td style="border-top: none; border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 116.75pt;" valign="top" width="156"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Venus<o:p></o:p></div>
</td>
<td style="border-bottom: solid windowtext 1.0pt; border-left: none; border-right: solid windowtext 1.0pt; border-top: none; mso-border-alt: solid windowtext .5pt; mso-border-left-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 238.5pt;" valign="top" width="318"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Agents Development<o:p></o:p></div>
</td>
</tr>
<tr>
<td style="border-top: none; border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 116.75pt;" valign="top" width="156"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Earth<o:p></o:p></div>
</td>
<td style="border-bottom: solid windowtext 1.0pt; border-left: none; border-right: solid windowtext 1.0pt; border-top: none; mso-border-alt: solid windowtext .5pt; mso-border-left-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 238.5pt;" valign="top" width="318"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Testbench Development<o:p></o:p></div>
</td>
</tr>
<tr>
<td style="border-top: none; border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 116.75pt;" valign="top" width="156"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Mars<o:p></o:p></div>
</td>
<td style="border-bottom: solid windowtext 1.0pt; border-left: none; border-right: solid windowtext 1.0pt; border-top: none; mso-border-alt: solid windowtext .5pt; mso-border-left-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 238.5pt;" valign="top" width="318"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Assertions and Functional Coverage Development<o:p></o:p></div>
</td>
</tr>
<tr>
<td style="border-top: none; border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 116.75pt;" valign="top" width="156"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Jupiter<o:p></o:p></div>
</td>
<td style="border-bottom: solid windowtext 1.0pt; border-left: none; border-right: solid windowtext 1.0pt; border-top: none; mso-border-alt: solid windowtext .5pt; mso-border-left-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 238.5pt;" valign="top" width="318"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Test Cases Development<o:p></o:p></div>
</td>
</tr>
<tr>
<td style="border-top: none; border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 116.75pt;" valign="top" width="156"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Saturn<o:p></o:p></div>
</td>
<td style="border-bottom: solid windowtext 1.0pt; border-left: none; border-right: solid windowtext 1.0pt; border-top: none; mso-border-alt: solid windowtext .5pt; mso-border-left-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 238.5pt;" valign="top" width="318"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Regression and Debug<o:p></o:p></div>
</td>
</tr>
<tr>
<td style="border-top: none; border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 116.75pt;" valign="top" width="156"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Uranus<o:p></o:p></div>
</td>
<td style="border-bottom: solid windowtext 1.0pt; border-left: none; border-right: solid windowtext 1.0pt; border-top: none; mso-border-alt: solid windowtext .5pt; mso-border-left-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 238.5pt;" valign="top" width="318"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Fixing Testbench or Test cases<o:p></o:p></div>
</td>
</tr>
<tr>
<td style="border-top: none; border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 116.75pt;" valign="top" width="156"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Neptune<o:p></o:p></div>
</td>
<td style="border-bottom: solid windowtext 1.0pt; border-left: none; border-right: solid windowtext 1.0pt; border-top: none; mso-border-alt: solid windowtext .5pt; mso-border-left-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 238.5pt;" valign="top" width="318"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Coverage Closure<o:p></o:p></div>
</td>
</tr>
<tr>
<td style="border-top: none; border: solid windowtext 1.0pt; mso-border-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 116.75pt;" valign="top" width="156"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Pluto <o:p></o:p></div>
</td>
<td style="border-bottom: solid windowtext 1.0pt; border-left: none; border-right: solid windowtext 1.0pt; border-top: none; mso-border-alt: solid windowtext .5pt; mso-border-left-alt: solid windowtext .5pt; mso-border-top-alt: solid windowtext .5pt; padding: 0in 5.4pt 0in 5.4pt; width: 238.5pt;" valign="top" width="318"><div class="MsoNormal" style="margin-bottom: 0.0001pt;">
Release – User guide, Product Documents etc... <o:p></o:p></div>
</td>
</tr>
</tbody></table>
</div>
<div align="center" class="MsoNormal" style="text-align: center;">
<br /></div>
<div align="center" class="MsoNormal" style="text-align: center;">
<b>Table 1:</b> Verification IP compared with Solar System<br />
<o:p></o:p></div>
<div align="center" class="MsoNormal" style="text-align: center;">
<br /></div>
<div class="MsoNormal" style="margin-bottom: 0.0001pt; text-align: justify;">
Further to above planets there are scary looking asteroids
in solar system which are treated as bugs. These bugs may be
DUT bugs or Testbench bugs.<o:p></o:p></div>
<div class="MsoNormal" style="margin-bottom: 0.0001pt; text-align: justify;">
<br /></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjpcQ3tjBr3mORjFQ9MQHiDOh4B_-Ycf05E1PogYL0dDgGtPLDWyAApkQqhIV8o1wd_5Q_lzpePenf1VKS6J1eYMKRaCcni-ARNxWBwESHrhzJfTrm2aUC3pn3G031_T9CdKbc3vFMKom1B/s1600/ss1.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="195" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjpcQ3tjBr3mORjFQ9MQHiDOh4B_-Ycf05E1PogYL0dDgGtPLDWyAApkQqhIV8o1wd_5Q_lzpePenf1VKS6J1eYMKRaCcni-ARNxWBwESHrhzJfTrm2aUC3pn3G031_T9CdKbc3vFMKom1B/s400/ss1.PNG" width="400" /></a></div>
<div class="MsoNormal" style="margin-bottom: 0.0001pt; text-align: justify;">
<b> <span style="text-align: center;">Figure 2: </span><span style="text-align: center;"> </span></b><span style="text-align: center;">Verification IP Development Steps</span></div>
</div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com2tag:blogger.com,1999:blog-1546552085459850116.post-84960622451268406702016-01-14T18:34:00.001-08:002016-01-14T18:34:22.603-08:00DAC - 15 : Poster Presentations<div dir="ltr" style="text-align: left;" trbidi="on">
Please find the posters from the below link.<br />
<br />
Paper Title: <b>VIP Development Techniques – A view into Controlling Features Effectively
</b><br />
<br />
Link: <a href="http://eliteplustech.com/VIP_Development_Techniques.pdf"><b>VIP_Development_Techniques.pdf</b></a><br />
<br />
<b>Abstract:</b> VIPs offer range of benefits including reusability
aspects, plug and play features and providing all the
necessary hooks and functionalities in a single entity
with a standard framework.<br />
<br />
The standard framework (Driver, Monitor and
Sequencer) has evolved over a decade as part of the
methodology developments and enhancements.<br />
<br />
We can argue that feature segregation between VIP
components depends upon protocols/standards.
However there are common aspects which one need to
be aware of and are important for controlling the flow
of information within and outside the VIP.<br />
<br />
This paper presentation highlights some of those
techniques focused on ACTIVE path (Interactions
between driver and sequence item) of the Verification
IP.<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhbe1_uFcWh7mdIB1ehzxUHBtUrmfaoal1U6vDH3StEJqFIoGfXAjMkA4xlrFpcyks3tjryWZVacptk0aM_HlFVAey3uuA8hI6U1oxRbDHIuiJrkXFnQGIPIiq355FOScZOoes93MO0x0aF/s1600/Capture12.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="640" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhbe1_uFcWh7mdIB1ehzxUHBtUrmfaoal1U6vDH3StEJqFIoGfXAjMkA4xlrFpcyks3tjryWZVacptk0aM_HlFVAey3uuA8hI6U1oxRbDHIuiJrkXFnQGIPIiq355FOScZOoes93MO0x0aF/s640/Capture12.PNG" width="532" /></a></div>
<div class="separator" style="clear: both; text-align: center;">
<br /></div>
Paper Title: <b>Developing Common UVM Testbench for Simulation and Emulation Platforms to
Reduce Verification Effort Across Different Abstraction Levels </b><br />
<b><br /></b>
Link: <b><a href="http://eliteplustech.com/Abstarction_Levels.pdf">Abstarction_Levels.pdf</a></b><br />
<br />
Abstract: Today’s traditional verification flow involves
verification at multiple levels of abstraction. So the
testbench also needs to be adjusted/modified at
different abstractions from transaction-level
simulation, RTL simulation to hardware acceleration.<br />
<br />
An ideal solution is to make use of an advanced,
automated verification environment across different
abstraction levels, which helps in enhancing the overall
performance gain, productivity and faster verification
closure.<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhrppVXb9bnIXViaQa8GpsWfolkoAlcXrDwXHiclrKd7uoTsJ5c4WPxxW3GZdTlCXZ4IhAyvQHkIexywDkGiaziFWi6BT2xgyw3pY9WRN6h99qlS3WbD8ThcryAsSIeQ0eiEDolL6FMc8EV/s1600/Capture122.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="640" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhrppVXb9bnIXViaQa8GpsWfolkoAlcXrDwXHiclrKd7uoTsJ5c4WPxxW3GZdTlCXZ4IhAyvQHkIexywDkGiaziFWi6BT2xgyw3pY9WRN6h99qlS3WbD8ThcryAsSIeQ0eiEDolL6FMc8EV/s640/Capture122.PNG" width="556" /></a></div>
<br />
Thanks for reading, please inbox me for any queries/suggestions<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjPa2vAfJEC4vc2tO1Ox5OqfAT2C_MpaQfhcKyCUaKqC4I70cpbNcxTqkC2CzWjzjiEMeY_DQZnPuCK8UjBv2rKCS6OHzYCINwpSvEGFmZA9327OZvSlW9JsoADf0XK84l2bm4z5SwBw5CD/s1600/17709_10206442403717009_3755506030317382776_n.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="300" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjPa2vAfJEC4vc2tO1Ox5OqfAT2C_MpaQfhcKyCUaKqC4I70cpbNcxTqkC2CzWjzjiEMeY_DQZnPuCK8UjBv2rKCS6OHzYCINwpSvEGFmZA9327OZvSlW9JsoADf0XK84l2bm4z5SwBw5CD/s400/17709_10206442403717009_3755506030317382776_n.jpg" width="400" /></a></div>
<br />
<b><br /></b></div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com0tag:blogger.com,1999:blog-1546552085459850116.post-48815228834650128262016-01-08T20:01:00.002-08:002016-01-08T20:01:43.701-08:00DVCON -15 India : A Reusability Combat in UVM : Callbacks vs Factory<div dir="ltr" style="text-align: left;" trbidi="on">
Please find the poster at the below link<br />
<br />
<a href="https://dvcon-india.org/sites/dvcon-india.org/files/archive/2015/proceedings/72_UVM_Callbacks_vs_Factory.pdf">UVM_Callbacks_vs_Factory.pdf</a><br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhrG8r9ZmswLmt29GQ9BS_xmXgYHSosyKLYEPA6qazakYwmfkgUnpzapgl9AuEuBY9kbFSnkwxB7sJ3JPNZ9yzRlHa-iUufa7w7Qvc32hwyA4UwdWoTw86cTWx3QAMM3WdiQFj5FTIr3P5m/s1600/Capture1.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="640" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhrG8r9ZmswLmt29GQ9BS_xmXgYHSosyKLYEPA6qazakYwmfkgUnpzapgl9AuEuBY9kbFSnkwxB7sJ3JPNZ9yzRlHa-iUufa7w7Qvc32hwyA4UwdWoTw86cTWx3QAMM3WdiQFj5FTIr3P5m/s640/Capture1.PNG" width="451" /></a></div>
<br />
<br />
If you need full length paper or if you have any Questions please inbox me.</div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com0tag:blogger.com,1999:blog-1546552085459850116.post-82178743559000830032015-06-27T08:41:00.000-07:002015-06-27T08:41:29.708-07:00Insertion of Pragmas in Source Code using Cshell Script<div dir="ltr" style="text-align: left;" trbidi="on">
<div style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;">
<span style="font-family: monospace;"><span style="background-color: white; font-size: 12.6750001907349px;">Their was a requirement to develop a script to add pragma to the code in order to encrypt the source code.We need to add `protect begin and `protect end between module and end module of .v files.</span></span></div>
<span style="background-color: white;"><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><b><br /></b></span><br />
<span style="background-color: white;"><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"><b>Original .v file:</b></span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> </span><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">module chip( MINUS,PLUS );</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> output wreal PLUS;</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> input wreal MINUS;</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> parameter elite = 0;</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;"> </span><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">parameter coffee = 1;</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;"> </span><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">parameter club = 1;</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> always@(*) </span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> begin </span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> $display("elite = %d" , elite );</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> $display("coffee = %d" , coffee);</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> $display("club = %d" , club );</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> end</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">endmodule </span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">// comments </span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">// parameter</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"><b>Output .v file:</b></span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> </span><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">module chip( MINUS,PLUS );</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> output wreal PLUS;</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> input wreal MINUS;</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> parameter elite = 0;</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;"> </span><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">parameter coffee = 1;</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;"> </span><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">parameter club = 1; </span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"><br /></span><span style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;"></span><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> `protect begin</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> always@(*) </span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> begin </span></span><br />
<span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> $display("elite = %d" , elite );</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> $display("coffee = %d" , coffee);</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> $display("club = %d" , club );</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> end</span><span style="background-color: white;"><span style="font-family: monospace;"><span style="font-size: 12.6750001907349px;"><br style="color: #666666;" /></span></span><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"><br /></span><span style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;"></span><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> `protect end</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">endmodule</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">// comments </span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">// parameter</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /></span><br />
<div class="separator" style="clear: both; color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjUHVPhImyUg-CeSMXFCCsIPi666Wy8VHQuXfsjAjU1TkzgYbNLecATGUCSBQ9DCKGf8txjGYn7fdqvusmKu9mb-J9kZHVgV66eAJ_e2p2jvElW0zQbFdBh9yfpko-MAegdXmiqw5sIokk/s1600/download.jpg" imageanchor="1" style="background-color: white; color: #4d469c; margin-left: 1em; margin-right: 1em; text-decoration: none;"><img border="0" height="133" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjUHVPhImyUg-CeSMXFCCsIPi666Wy8VHQuXfsjAjU1TkzgYbNLecATGUCSBQ9DCKGf8txjGYn7fdqvusmKu9mb-J9kZHVgV66eAJ_e2p2jvElW0zQbFdBh9yfpko-MAegdXmiqw5sIokk/s320/download.jpg" style="border: none; padding: 8px; position: relative;" width="320" /></a></div>
<span style="background-color: white;"><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"><br /></span><span style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;"></span><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"><br /></span><span style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;"></span><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">The script was written in cshell as stated below</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"><br /></span><span style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;"></span><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">#! /bin/csh -fx</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">setenv RUN_DIR $PWD</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">set filename = $RUN_DIR/test_list.txt # test_list.txt gives list of all .v files</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">set temp = temp # temp variable</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">set file = file # file variable</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;">foreach filelocal (`cat $filename`) # foreach is reading each file in test_list</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> echo $filelocal</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> # Removing Tempfiles</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 13px; line-height: 18.2000007629395px;"><span style="font-size: 12.6750001907349px;"> rm -rf $file$temp # Removing temporary in between generated files</span></span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> rm -rf $file</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> # Removing comments in the file</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 13px; line-height: 18.2000007629395px;"><span style="font-size: 12.6750001907349px;"> cat $filelocal | sed 's/\/\/.*$//' > $file # Making sure to remove all comment " // " lines from the orginal .v file ($filelocal) and piping to a temporary file ($file)</span></span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> #Counting total lines of the file</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> set count = `cat $file | wc -l` # counting the entire lines of the file ($file)</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><br style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;" /><span style="color: #666666; font-family: monospace; font-size: 12.6750001907349px;"> # Finding the last line for the grep item</span><br style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;" /><span style="color: #666666; font-family: monospace; font-size: 13px; line-height: 18.2000007629395px;"><span style="font-size: 12.6750001907349px;"> set final = `grep -n "input\|output\|parameter\|inout" $file | sed 's/:/ /g' | awk '{print $1}' | tail -n 1 ` # Here we are greping the various fields as stated , here up to parameter and finding the line number</span></span></span><br />
<div style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;">
<span style="background-color: white;"><br /><span style="font-family: monospace; font-size: 12.6750001907349px;"> echo $final</span><br /><br style="font-family: monospace; font-size: 12.6750001907349px;" /><span style="font-family: monospace;"><span style="font-size: 12.6750001907349px;"> head -$final $file > $file$temp # Then we are sending the contents of the lines up to the parameter to a new file</span></span><br /><br style="font-family: monospace; font-size: 12.6750001907349px;" /><span style="font-family: monospace; font-size: 12.6750001907349px;"> echo \`protect begin >> $file$temp # Then printing the `protect begin to the new file</span><br /><br style="font-family: monospace; font-size: 12.6750001907349px;" /><span style="font-family: monospace; font-size: 12.6750001907349px;"> # Finding the last line for the grep item</span><br /><span style="font-family: monospace; font-size: 12.6750001907349px;"> set end = `grep -n "endmodule" $file | sed 's/:/ /g' | awk '{print $1}' | tail -n 1 ` # Finding the endmodule line here</span><br /><span style="font-family: monospace; font-size: 12.6750001907349px;"> echo $end</span><br /><br style="font-family: monospace; font-size: 12.6750001907349px;" /><span style="font-family: monospace; font-size: 12.6750001907349px;"> # printing between Lines</span><br /><span style="font-family: monospace; font-size: 12.6750001907349px;"> set final = `expr $final + 1`</span><br /><span style="font-family: monospace; font-size: 12.6750001907349px;"> set end = `expr $end - 1`</span><br /><br style="font-family: monospace; font-size: 12.6750001907349px;" /><span style="font-family: monospace; font-size: 12.6750001907349px;"> sed -n $final,{$end}p $file >> $file$temp # Printing all the lines between after parameter last line to endmoule before line</span><br /><br style="font-family: monospace; font-size: 12.6750001907349px;" /><span style="font-family: monospace; font-size: 12.6750001907349px;"> echo \`protect end >> $file$temp # Printing the `protect end</span><br /><span style="font-family: monospace; font-size: 12.6750001907349px;"> echo endmodule >> $file$temp # Printing endmodule</span><br /><br style="font-family: monospace; font-size: 12.6750001907349px;" /><span style="font-family: monospace; font-size: 12.6750001907349px;"> #printing end lines</span><br /><span style="font-family: monospace; font-size: 12.6750001907349px;"> set end = `grep -n "endmodule" $file | sed 's/:/ /g' | awk '{print $1}' | tail -n 1 `</span><br /><span style="font-family: monospace; font-size: 12.6750001907349px;"> set rem = `expr $count - $end`</span><br /><span style="font-family: monospace;"><span style="font-size: 12.6750001907349px;"> tail -$rem $file >> $file$temp # Printing the ending lines after endmdule</span></span><br /><br style="font-family: monospace; font-size: 12.6750001907349px;" /><span style="font-family: monospace; font-size: 12.6750001907349px;"> # Copying the local file to orginal file</span><br /><span style="font-family: monospace; font-size: 12.6750001907349px;"> cp -rf $file$temp $filelocal # Copying the temporary file back to original file</span><br /><br style="font-family: monospace; font-size: 12.6750001907349px;" /><span style="font-family: monospace; font-size: 12.6750001907349px;">end</span></span></div>
<div style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;">
<span style="background-color: white;"><span style="font-family: monospace; font-size: 12.6750001907349px;"><br /></span></span></div>
<div style="color: #666666; font-family: Arial, Tahoma, Helvetica, FreeSans, sans-serif; font-size: 13px; line-height: 18.2000007629395px;">
<span style="background-color: white;"><span style="font-family: monospace; font-size: 12.6750001907349px;">Thanks for reading this post ..!</span></span></div>
</div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com0tag:blogger.com,1999:blog-1546552085459850116.post-5596070822020888182015-06-13T19:50:00.002-07:002015-06-13T19:50:57.588-07:00DAC-15 Highlights<div dir="ltr" style="text-align: left;" trbidi="on">
<div class="MsoNormal" style="text-align: justify;">
Design Automation Conference got an outstanding response, around 800 papers were submitted this year in which 200 papers were finalized. All keynote presentations were
very interesting especially the smart lens speech by Brain Otis ,Director from Google was
really amazing. Vivek Singh speech on
Moore’s law at fifty was another highlight , his presentation was outstanding especially
the ending slide which shows the Moore Photograph.<o:p></o:p></div>
<div class="MsoNormal" style="text-align: justify;">
<br /></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjCZZPNO-5vM2DZtHbLGexDlLg-YhpVe5oSTZuhsg59sS9kokgQ0AceVDRdZu9hLbJLHcAMukdhSI88QMzamQqg-3x0W9SImqWht3S7iB2bGtKhlYS0e_RRf8-nLBKsTsCPhZXaImjwW24f/s1600/IMG_2412.JPG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="320" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjCZZPNO-5vM2DZtHbLGexDlLg-YhpVe5oSTZuhsg59sS9kokgQ0AceVDRdZu9hLbJLHcAMukdhSI88QMzamQqg-3x0W9SImqWht3S7iB2bGtKhlYS0e_RRf8-nLBKsTsCPhZXaImjwW24f/s320/IMG_2412.JPG" width="240" /></a></div>
<div class="MsoNormal" style="text-align: justify;">
<br /></div>
<div class="MsoNormal" style="text-align: justify;">
My Interaction with <span style="color: windowtext; text-decoration: none; text-underline: none;">Cliff Cummings</span>
( Sunburst Design) , Dave Rich ( Verification Academy) helped me in understanding UVM concepts in
depth.<o:p></o:p></div>
<div class="MsoNormal" style="text-align: justify;">
<br /></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEizaCJ9U-5ZKA-f3eG__C6HhIfG0nnzvzkqt22AIrqo1M_XPNP2S-qR_Yw0n2mDkojM6QXx_bkGdiTU9vo1HXBmPQV9AtcurI6reu_n23fK3CsC2CaTL_0WqGx4NzEoBDE_OaWieBu2e0qm/s1600/IMG_2385.JPG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="240" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEizaCJ9U-5ZKA-f3eG__C6HhIfG0nnzvzkqt22AIrqo1M_XPNP2S-qR_Yw0n2mDkojM6QXx_bkGdiTU9vo1HXBmPQV9AtcurI6reu_n23fK3CsC2CaTL_0WqGx4NzEoBDE_OaWieBu2e0qm/s320/IMG_2385.JPG" width="320" /></a></div>
<div class="MsoNormal" style="text-align: justify;">
<br /></div>
<div class="MsoNormal" style="text-align: justify;">
<br /></div>
<div class="MsoNormal" style="text-align: justify;">
My presentation was satisfactory received positive response
from the audience , heard the next big turn in verification is simAccel (
Simulation + Acceleration) and VIP vendors
are about to start this in full-swing.<o:p></o:p></div>
<div class="MsoNormal" style="text-align: justify;">
<br /></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgZCRZZmaQ-yEevLY5F-upWacbQDSk8uvpZUyxURKEnjHIENDm4jIzO6vUsodC1o5AqPeXZzOyFGkfc3KG1GSriVsLZIdZkoMUR301HceGXcb7R7MS0piRqZAEgx_rTy-8sqOnL9RZtRVaF/s1600/IMG_2404.JPG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" height="240" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgZCRZZmaQ-yEevLY5F-upWacbQDSk8uvpZUyxURKEnjHIENDm4jIzO6vUsodC1o5AqPeXZzOyFGkfc3KG1GSriVsLZIdZkoMUR301HceGXcb7R7MS0piRqZAEgx_rTy-8sqOnL9RZtRVaF/s320/IMG_2404.JPG" width="320" /></a></div>
<div class="MsoNormal" style="text-align: justify;">
<br /></div>
<div class="MsoNormal" style="text-align: justify;">
<br /></div>
<div class="MsoNormal">
</div>
<div class="MsoNormal" style="text-align: justify;">
At last it was a satisfactory trip with thought provoking discussions.<o:p></o:p></div>
</div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com0tag:blogger.com,1999:blog-1546552085459850116.post-27582796645342928322015-05-23T20:26:00.003-07:002015-05-23T20:26:48.244-07:00Verification Management: Scripting makes Life Easier<div dir="ltr" style="text-align: left;" trbidi="on">
<div class="MsoNormal" style="text-align: justify;">
<div class="MsoNormal">
<div style="text-align: justify;">
<span style="font-family: Centaur, serif; font-size: 14pt;">Increase in demand for high quality Verification IP’s and
shortening design cycles puts pressure on IP houses to leverage automation in
verification process. This shows that the management of data and process are
important to an efficient and productive verification environment. Using a
built in system like Questa VRM (Verification Run Manager) and Cadence Emanager
can give Verification Engineers maximum throughput and the benefits of
automation but companies who can’t afford licenses for a long period can
develop their own automation scripts for the verification process.</span></div>
<div style="text-align: justify;">
<span style="font-family: Centaur, serif; font-size: 14pt;"><br /></span></div>
<div style="text-align: justify;">
<span style="font-family: Centaur, serif; font-size: 14pt;">Often automation scripts are heavily scripted by an individual
and are carried out from project to project, majority of the team don’t know
what actually the script is doing and they just simply use it until and unless
it works fine for them. To get the full benefits of the automation script, an
individual needs to understand what actually the script does.</span></div>
</div>
<div class="MsoNormal">
<div style="text-align: justify;">
<span style="border: 1pt none windowtext; font-family: Centaur, serif; font-size: 14pt; padding: 0in;"><br /></span></div>
<div style="text-align: justify;">
<span style="border: 1pt none windowtext; font-family: Centaur, serif; font-size: 14pt; padding: 0in;">You may be asking me why should I make the effort of learning how to script? </span><span style="background-color: white; font-family: Centaur, serif; font-size: 14pt;">The
Simple answer is it will likely start to impact our job in the near future
if we do not. <span style="border: none windowtext 1.0pt; mso-border-alt: none windowtext 0in; padding: 0in;">As systems get increasingly larger and more
complex, the time spent in verification process consumes an increasing sum of
time.</span></span></div>
</div>
<div class="MsoNormal" style="background: white;">
<div style="text-align: justify;">
<span style="font-family: Centaur, serif; font-size: 14pt;"><br /></span></div>
</div>
<div class="MsoNormal" style="background: white;">
<div style="text-align: justify;">
<span style="font-family: Centaur, serif; font-size: 14pt;">Two main justifying reasons to
script a task:</span></div>
</div>
<div class="MsoNormal" style="background: white;">
<div style="text-align: justify;">
<br /></div>
</div>
<div class="MsoNormal" style="margin-left: .5in; text-indent: -.25in;">
<div style="text-align: justify;">
<span style="font-family: Centaur, serif; font-size: 14pt;">1) <span style="border: none windowtext 1.0pt; mso-border-alt: none windowtext 0in; padding: 0in;">It is repetitive, time consuming or overly tedious</span></span><span style="font-family: Centaur, serif; font-size: 14pt;"><o:p></o:p></span></div>
</div>
<div class="MsoNormal" style="margin-left: .5in; text-indent: -.25in;">
<div style="text-align: justify;">
<span style="font-family: Centaur, serif; font-size: 14pt;">2) <span style="border: none windowtext 1.0pt; mso-border-alt: none windowtext 0in; padding: 0in;">Human Error must be reduced to as close to zero as possible</span></span></div>
<div style="text-align: justify;">
<span style="font-family: Centaur, serif; font-size: 14pt;"><br /></span></div>
<div style="text-align: justify;">
<br /></div>
</div>
<div class="MsoNormal" style="text-align: center;">
<div style="text-align: center;">
<span style="font-family: Centaur, serif; font-size: 14pt;"> </span><span style="font-family: Centaur, serif; font-size: 14pt; text-indent: 9pt;"> </span><img src="http://linuxconfig.net/wp-content/uploads/2012/05/Bash-script-2.jpg" height="133" width="320" /></div>
<div style="text-align: left;">
<span style="font-family: Centaur, serif; font-size: 18.6666660308838px; text-align: justify;"><br /></span></div>
<div style="text-align: left;">
<span style="font-family: Centaur, serif; font-size: 18.6666660308838px; text-align: justify;">We developed an automation script in cshell that performs 70% of functionality what actually a built in Verification management does. Our script has the ability to automate management of seeds for constrained random tests, re-run failed tests automatically perhaps with more debug visibility, merge coverage across multiple runs, manage tool time-outs, to name just a few functions.</span></div>
<div style="text-align: left;">
<span style="font-family: Centaur, serif; font-size: 18.6666660308838px; text-align: justify;"><br /></span></div>
</div>
<div class="MsoNormal">
<div style="text-align: justify;">
<span style="background-color: white; font-family: Centaur, serif; font-size: 14pt;">The features available in this script include:</span></div>
</div>
<div class="MsoNormal" style="text-indent: 9.0pt;">
<div style="text-align: justify;">
<br /></div>
</div>
<div class="MsoNormal" style="margin-left: 45.0pt; text-indent: -.25in;">
<div style="text-align: justify;">
<span style="font-family: Cambria, serif; font-size: 14pt;">·</span><span style="font-family: Centaur, serif; font-size: 14pt;"> <span style="background: white;">Single test case run with coverage on and off.</span><o:p></o:p></span></div>
</div>
<div class="MsoNormal" style="margin-left: 45.0pt; text-indent: -.25in;">
<div style="text-align: justify;">
<span style="font-family: Cambria, serif; font-size: 14pt;">·</span><span style="font-family: Centaur, serif; font-size: 14pt;"> <span style="background: white;">Multiple test case run with coverage on and off.</span><o:p></o:p></span></div>
</div>
<div class="MsoNormal" style="margin-left: 45.0pt; text-indent: -.25in;">
<div style="text-align: justify;">
<span style="font-family: Cambria, serif; font-size: 14pt;">·</span><span style="font-family: Centaur, serif; font-size: 14pt;"> <span style="background: white;">Single test case run with particular seed number.</span><o:p></o:p></span></div>
</div>
<div class="MsoNormal" style="margin-left: 45.0pt; text-indent: -.25in;">
<div style="text-align: justify;">
<span style="font-family: Cambria, serif; font-size: 14pt;">·</span><span style="font-family: Centaur, serif; font-size: 14pt;"> <span style="background: white;">Graph analysis of regression results.</span><o:p></o:p></span></div>
</div>
<div class="MsoNormal" style="margin-left: 45.0pt; text-indent: -.25in;">
<div style="text-align: justify;">
<span style="font-family: Cambria, serif; font-size: 14pt;">·</span><span style="font-family: Centaur, serif; font-size: 14pt;"> <span style="background: white;">Regression Results distribution to team.</span><o:p></o:p></span></div>
</div>
<div class="MsoNormal" style="background: white; margin-bottom: 11.25pt; margin-left: 0in; margin-right: 0in; margin-top: 11.25pt;">
<div style="text-align: justify;">
<span style="font-family: Centaur, serif; font-size: 14pt;">All required options can
be passed through command line or through a configuration file. Some of these
switches include</span><span style="font-family: Centaur, serif; font-size: 14pt;"><o:p></o:p></span></div>
</div>
<div class="MsoNormal" style="background: white;">
<div style="text-align: justify;">
<span style="border: 1pt none windowtext; font-family: Centaur, serif; font-size: 14pt; padding: 0in;"><script_name>
<layer> <b>:</b> Runs Regression with functional coverage
enable.</span><span style="font-family: Centaur, serif; font-size: 14pt;"><o:p></o:p></span></div>
</div>
<div class="MsoNormal" style="background: white;">
<div style="text-align: justify;">
<br /></div>
</div>
<div class="MsoNormal" style="background: white;">
<div style="text-align: justify;">
<span style="border: 1pt none windowtext; font-family: Centaur, serif; font-size: 14pt; padding: 0in;">Note: <layer> specifies
either protocol , link ,physical layer specific tests to USB3.</span><span style="font-family: Centaur, serif; font-size: 14pt;"><o:p></o:p></span></div>
</div>
<div class="MsoNormal" style="background: white;">
<div style="text-align: justify;">
<br /></div>
</div>
<div class="MsoNormal" style="background: white;">
<div style="text-align: justify;">
<span style="border: 1pt none windowtext; font-family: Centaur, serif; font-size: 14pt; padding: 0in;"><script_name>
<layer> cov_off <b>:</b> Runs Regression with functional
coverage off.</span><span style="font-family: Centaur, serif; font-size: 14pt;"><o:p></o:p></span></div>
</div>
<div class="MsoNormal" style="background: white;">
<div style="text-align: justify;">
<br /></div>
</div>
<div class="MsoNormal" style="background: white;">
<div style="text-align: justify;">
<span style="border: 1pt none windowtext; font-family: Centaur, serif; font-size: 14pt; padding: 0in;"><script_name>
<test_name> cov_off re_run seed <seed_number><b>:</b> Re-run
a single test case with a particular seed number.</span></div>
<div style="text-align: justify;">
<span style="font-family: Centaur, serif; font-size: 14pt;"><br /></span></div>
<div style="text-align: justify;">
<span style="font-family: Centaur, serif; font-size: 14pt;">A set of
analysis has been performed on the scripts and observed that the users </span><span style="font-family: Centaur, serif; font-size: 14pt;">Productivity
is being boosted across many different aspects of verification management
including capacity, performance, resource usage, turn-around time, preparation,
maintenance etc...</span><span style="font-family: Centaur, serif; font-size: 14pt;">In conclusion, the user has to be careful in
selecting the options based upon his requirements.</span></div>
<div style="text-align: justify;">
<span style="font-family: Centaur, serif; font-size: 14pt; text-indent: 0.15in;"><br /></span></div>
<div style="text-align: justify;">
<span style="font-family: Centaur, serif; font-size: 14pt; text-indent: 0.15in;">So would you like to try to
use scripts to reduce verification efforts? I would like to hear from all of you.</span></div>
</div>
</div>
</div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com2tag:blogger.com,1999:blog-1546552085459850116.post-31019240659665149672015-05-16T02:17:00.000-07:002015-05-16T02:21:01.405-07:00Is Moore’s Law really dead?<div dir="ltr" style="text-align: left;" trbidi="on">
<div class="MsoNormal">
<div style="text-align: justify;">
Thanks for viewing my earlier post and suggesting accordingly.<o:p></o:p></div>
</div>
<div class="MsoNormal">
<div style="text-align: justify;">
<br /></div>
</div>
<table cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj850ZQihocTptl66bqkXan598WNghlPu0SabuyJfY6smeoNI814xjn7QTmtcaCvalb6G9ScVewC3uF9mmZmJzlr94t3ifrofA4sk4FF7N7gP_rqO5SKwiJOAAEbEAGVh0WAT6lA17E8Aor/s1600/vlsivikas.PNG" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" height="241" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj850ZQihocTptl66bqkXan598WNghlPu0SabuyJfY6smeoNI814xjn7QTmtcaCvalb6G9ScVewC3uF9mmZmJzlr94t3ifrofA4sk4FF7N7gP_rqO5SKwiJOAAEbEAGVh0WAT6lA17E8Aor/s320/vlsivikas.PNG" width="320" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;"><span style="font-size: small; text-align: left;"> Figure 1 : Audience Statistics </span></td></tr>
</tbody></table>
<div class="MsoNormal">
<div style="text-align: justify;">
</div>
</div>
<div class="MsoNormal">
<div style="text-align: justify;">
As discussed in the my earlier post Moore’s statement is an
observation on the number of transistors on a chip that increases exponentially
and he didn't mention on the speed ,
Architecture ,size and cost of the chip.</div>
</div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
<div style="text-align: justify;">
<br /></div>
</div>
<div class="MsoNormal">
<div style="text-align: justify;">
<b>Is Moore’s Law really
dead? <o:p></o:p></b></div>
</div>
<div class="MsoNormal">
<div style="text-align: justify;">
<br /></div>
</div>
<div class="MsoNormal">
<div style="text-align: justify;">
The short answer for now, not yet, but it might be going to end in near future.
Recently Researchers have warned that the era of exponent graph may come to end , I don’t
want to argue on the forecast but we need to focus on other alternatives such
as.<o:p></o:p></div>
</div>
<div class="MsoNormal">
<div style="text-align: justify;">
<br /></div>
</div>
<div class="MsoListParagraph" style="mso-list: l0 level1 lfo1; text-indent: -.25in;">
<div style="text-align: justify;">
1.<span style="font-size: 7pt; font-stretch: normal;">
</span><!--[endif]-->New Material to Replace silicon.<o:p></o:p></div>
</div>
<div class="MsoListParagraph" style="mso-list: l0 level1 lfo1; text-indent: -.25in;">
<div style="text-align: justify;">
2.<span style="font-size: 7pt; font-stretch: normal;">
</span><!--[endif]-->Advance Manufacturer Techniques.<o:p></o:p></div>
</div>
<div class="MsoListParagraph" style="mso-list: l0 level1 lfo1; text-indent: -.25in;">
<div style="text-align: justify;">
3.<span style="font-size: 7pt; font-stretch: normal;">
</span><!--[endif]-->3D Transistors. ( I will discuss in-depth in future
posts)<o:p></o:p></div>
</div>
<div class="MsoListParagraph" style="mso-list: l0 level1 lfo1; text-indent: -.25in;">
<div style="text-align: justify;">
<br /></div>
</div>
<div class="MsoListParagraph" style="mso-list: l0 level1 lfo1; text-indent: -.25in;">
<div style="text-align: justify;">
<br /></div>
</div>
<table cellpadding="0" cellspacing="0" class="tr-caption-container" style="margin-left: auto; margin-right: auto; text-align: center;"><tbody>
<tr><td style="text-align: center;"><a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj_fXupT88l9aJbepmwlmT_WaYUSSY3gK1pYTWJQqmZdWUbRXtBJo6FS3W1nqKR5KsBgRbHoIWOFvWXukHj7eA6dCp9z-0qpi5F0o59WPSUagtspajRxgUAv7oWQt3UDNlPGEQUw58dY5Ys/s1600/awarad.PNG" imageanchor="1" style="margin-left: auto; margin-right: auto;"><img border="0" height="182" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEj_fXupT88l9aJbepmwlmT_WaYUSSY3gK1pYTWJQqmZdWUbRXtBJo6FS3W1nqKR5KsBgRbHoIWOFvWXukHj7eA6dCp9z-0qpi5F0o59WPSUagtspajRxgUAv7oWQt3UDNlPGEQUw58dY5Ys/s400/awarad.PNG" width="400" /></a></td></tr>
<tr><td class="tr-caption" style="text-align: center;"><span style="font-size: small; text-align: left;">Figure 2 : Appreciation by NXP for my Poster Presentation on 3D Transistors and Bio-Neuro Chips in year 2011 </span></td></tr>
</tbody></table>
<div class="MsoNormal">
<br />
<div style="text-align: justify;">
<br /></div>
<o:p></o:p><br />
<div style="text-align: justify;">
The law of physics doesn't allow chip size to shrink below
to an extent , Researchers predicts that it might shrink up to 5 nm by 2020.</div>
</div>
<div class="MsoNormal">
<o:p></o:p></div>
<div class="MsoNormal">
<div style="text-align: justify;">
<br /></div>
</div>
<div class="MsoNormal">
<div style="text-align: justify;">
According to me the day when chip maker can’t get returns on the
billions he invested that is the end of Moore’s prediction.<o:p></o:p></div>
</div>
<div class="MsoNormal">
<div style="text-align: justify;">
<br /></div>
</div>
<div style="text-align: justify;">
<br /></div>
<div class="MsoNormal">
<div style="text-align: justify;">
Long Live Moore's Prediction.<o:p></o:p></div>
</div>
<div class="MsoNormal">
<div style="text-align: justify;">
<br /></div>
</div>
<div class="MsoNormal">
<div style="text-align: justify;">
Thanks in advance for Reading the Post.</div>
</div>
<div class="MsoNormal">
<div style="text-align: justify;">
<br /></div>
</div>
<div class="MsoNormal">
<div style="text-align: justify;">
Next i will be Posting few articles on UVM along with my friends and colleagues with subject (UVM with Vikas). </div>
</div>
<div class="MsoNormal">
<div style="text-align: justify;">
<br /></div>
</div>
<div class="MsoNormal">
<div style="text-align: justify;">
Stay Tuned ...</div>
</div>
</div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com0tag:blogger.com,1999:blog-1546552085459850116.post-32099093507796752912015-05-03T07:31:00.002-07:002015-05-03T20:10:08.379-07:00Moore’s Law Marks 50 years <div dir="ltr" style="text-align: left;" trbidi="on">
<div style="margin-bottom: 7.5pt; margin-left: 0in; margin-right: 0in; margin-top: 0in; mso-line-height-alt: 18.0pt;">
<div style="text-align: justify;">
<span style="font-family: Georgia, serif;">This Year Moore’s Law Marks 50 years. Gordon More , the co-founder
of Intel made this Prediction in 1965, that the number of transistors will
double every year, while the cost of production remains same. <o:p></o:p></span></div>
</div>
<div style="margin-bottom: 7.5pt; margin-left: 0in; margin-right: 0in; margin-top: 0in; mso-line-height-alt: 18.0pt;">
<div style="text-align: justify;">
<br /></div>
</div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgiMjRdpd5HYsoXMqjekM_iyDytcTeHg2dPzD24TFXBuWU8kjTtALPtuXWH0pb3UJy8FeOkNHRRWCGvt89lVZD5TvXLkmI2g45bx953pnw7aPgsyRhyF164ZdETZY97izQ41engKIraNqlH/s1600/24gordon-moore-old.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgiMjRdpd5HYsoXMqjekM_iyDytcTeHg2dPzD24TFXBuWU8kjTtALPtuXWH0pb3UJy8FeOkNHRRWCGvt89lVZD5TvXLkmI2g45bx953pnw7aPgsyRhyF164ZdETZY97izQ41engKIraNqlH/s1600/24gordon-moore-old.jpg" height="200" width="172" /></a></div>
<div style="margin: 0in 0in 7.5pt; text-align: center;">
<div style="text-align: center;">
<span style="font-family: Georgia, serif;"><span style="font-size: x-small;">Gordon More</span></span></div>
</div>
<div style="margin-bottom: 7.5pt; margin-left: 0in; margin-right: 0in; margin-top: 0in; mso-line-height-alt: 18.0pt;">
<div style="text-align: justify;">
<span style="font-family: Georgia, serif;"><br /></span></div>
<div style="text-align: justify;">
<span style="font-family: Georgia, serif;">Does this is an Observation or Law or Rule ? <o:p></o:p></span></div>
</div>
<div style="margin-bottom: 7.5pt; margin-left: 0in; margin-right: 0in; margin-top: 0in; mso-line-height-alt: 18.0pt;">
<div style="text-align: justify;">
<br /></div>
</div>
<div style="margin-bottom: 7.5pt; margin-left: 0in; margin-right: 0in; margin-top: 0in; mso-line-height-alt: 18.0pt;">
<div style="text-align: justify;">
<span style="font-family: Georgia, serif;"> Does this as an
end ? <o:p></o:p></span></div>
</div>
<div style="margin-bottom: 7.5pt; margin-left: 0in; margin-right: 0in; margin-top: 0in; mso-line-height-alt: 18.0pt;">
<div style="text-align: justify;">
<br /></div>
</div>
<div style="margin-bottom: 7.5pt; margin-left: 0in; margin-right: 0in; margin-top: 0in; mso-line-height-alt: 18.0pt;">
<div style="text-align: justify;">
<span style="font-family: Georgia, serif;"> Does Companies
sustain without this ? <o:p></o:p></span></div>
</div>
<div style="margin-bottom: 7.5pt; margin-left: 0in; margin-right: 0in; margin-top: 0in; mso-line-height-alt: 18.0pt;">
<div style="text-align: justify;">
<br /></div>
</div>
<div style="margin-bottom: 7.5pt; margin-left: 0in; margin-right: 0in; margin-top: 0in; mso-line-height-alt: 18.0pt;">
<div style="text-align: justify;">
<span style="font-family: Georgia, serif;"> Let us discuss.<o:p></o:p></span></div>
</div>
<div style="margin-bottom: 7.5pt; margin-left: 0in; margin-right: 0in; margin-top: 0in; mso-line-height-alt: 18.0pt;">
<div style="text-align: justify;">
<br /></div>
</div>
<div style="margin-bottom: 7.5pt; margin-left: .75in; margin-right: 0in; margin-top: 0in; mso-line-height-alt: 18.0pt; mso-list: l0 level1 lfo1; text-indent: -.5in;">
<div style="text-align: justify;">
<!--[if !supportLists]--><span style="font-family: Georgia, serif;">1.<span style="font-family: 'Times New Roman'; font-stretch: normal;">
</span></span><!--[endif]--><span style="font-family: Georgia, serif;">Observation or Law or Rule :
Law or Rule doesn't change but Observations can. From 1965 to 1975 the number
of transistors doubled every year but from 2008 it slowed down to around 2 to 3
years approximately. Even Gordon More didn't call it has a Law, he said that things
changes exponentially. So this is an Observation.<o:p></o:p></span><br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgCI-FPW1C3Qv3RomsvJwbw0-RxFkP2A7bNaL2sUy2IP_q1frahKlqi_a9bYAB21OkLlp9SyIAAuSkcYNqcHHRyJkwHjEO6UcuNPgAqL8-NMti4QSiH61W6VCq0UFCwjpmDeIrG70dcta3v/s1600/shrinking.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgCI-FPW1C3Qv3RomsvJwbw0-RxFkP2A7bNaL2sUy2IP_q1frahKlqi_a9bYAB21OkLlp9SyIAAuSkcYNqcHHRyJkwHjEO6UcuNPgAqL8-NMti4QSiH61W6VCq0UFCwjpmDeIrG70dcta3v/s1600/shrinking.PNG" height="225" width="320" /></a></div>
<span style="font-family: Georgia, serif; font-size: x-small; text-align: center;"> Shrinking Feature Size</span></div>
<div style="text-align: justify;">
<span style="font-family: Georgia, serif;"><br /></span></div>
</div>
<div style="margin-bottom: 7.5pt; margin-left: .75in; margin-right: 0in; margin-top: 0in; mso-line-height-alt: 18.0pt; mso-list: l0 level1 lfo1; text-indent: -.5in;">
<div style="text-align: justify;">
<!--[if !supportLists]--><span style="font-family: Georgia, serif;">2.<span style="font-family: 'Times New Roman'; font-stretch: normal;">
</span></span><!--[endif]--><span style="font-family: Georgia, serif;">Will Moore’s Law has an Expiry Date : As per nature everything has
an end, what about Moore’s law ? Does it has an end ? Then how companies sustain?
Does a new technology has to be evolved or is there an alternative solution ? Researchers
predicted that Transistor shrinkage can happen only up to 2021? What next ?
Instead of segueing Transistors chip makers are coming up with 3D Transistors i.e.
stacking Transistors in to Layers. </span><span style="font-family: Georgia, serif;">There is a saying in real estate; when land get expensive,
multi-storied buildings are the alternative solution. </span><span style="font-family: Georgia, serif;"><o:p></o:p></span></div>
</div>
<div style="margin-bottom: 7.5pt; margin-left: .75in; margin-right: 0in; margin-top: 0in; mso-line-height-alt: 18.0pt;">
<div style="text-align: justify;">
<br /></div>
</div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjF1CemoiJH7uRDUaNVc_mSjHy_1oVvJqumjFHs122eP5PfK8FPVySJPBVW31KgjPKml2wMgtrIdZhck33HruRmyFbdJN16FsYkPYf5HCTqOaL7wxZ8yKYDCxQcC7dxRvEsDRY0uzgPRrYG/s1600/3d.PNG" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEjF1CemoiJH7uRDUaNVc_mSjHy_1oVvJqumjFHs122eP5PfK8FPVySJPBVW31KgjPKml2wMgtrIdZhck33HruRmyFbdJN16FsYkPYf5HCTqOaL7wxZ8yKYDCxQcC7dxRvEsDRY0uzgPRrYG/s1600/3d.PNG" height="240" width="320" /></a></div>
<div class="separator" style="clear: both; text-align: center;">
<span style="font-family: Georgia, serif;"> </span><span style="font-family: Georgia, serif; font-size: x-small;"> 3D Architecture</span></div>
<div class="separator" style="clear: both; text-align: center;">
<br /></div>
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiF5Y_JyWzTWcNUql0F96LUxPIubFGuN1PY03nz4fX2-3NUD0AEfYnKrr92qssvn9zQHmAdEdm3pkIGgdjSsAQ3OgqUzBVI8MCBqipqwKwO4MNNLF1C5sntKfBSueRLnwrjMxPV5ixgl-9V/s1600/1965-graph.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiF5Y_JyWzTWcNUql0F96LUxPIubFGuN1PY03nz4fX2-3NUD0AEfYnKrr92qssvn9zQHmAdEdm3pkIGgdjSsAQ3OgqUzBVI8MCBqipqwKwO4MNNLF1C5sntKfBSueRLnwrjMxPV5ixgl-9V/s1600/1965-graph.jpg" height="320" width="320" /></a></div>
<div style="text-align: justify;">
<span style="font-family: Georgia, serif; text-align: center;"> <span style="font-size: x-small;"> Gordon More's Initial Sketch of his Observation</span></span></div>
<div style="margin-bottom: 7.5pt; margin-left: .75in; margin-right: 0in; margin-top: 0in; mso-line-height-alt: 18.0pt;">
<div style="text-align: justify;">
<br />
<span style="font-family: Georgia, serif;"><br /></span>
<span style="font-family: Georgia, serif;">In my next blog I will come up with the Reasons why Moore’s Law
need to end ? and the devil factors which is going to make it buried.</span><span style="font-family: Georgia, serif;">I will also</span><span style="font-family: Georgia, serif;"> Discuss on 3D Architecture and its Market Trends in the following post.</span></div>
</div>
<div style="margin-bottom: 7.5pt; margin-left: .75in; margin-right: 0in; margin-top: 0in; mso-line-height-alt: 18.0pt;">
<div style="text-align: justify;">
<span style="font-family: Georgia, serif;"><br /></span>
<span style="font-family: Georgia, serif;">Thanks for Reading and suggestions are Welcome ..</span><br />
<span style="font-family: Georgia, serif;"><br /></span>
<span style="font-family: Georgia, serif;"><br /></span></div>
</div>
</div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com0tag:blogger.com,1999:blog-1546552085459850116.post-14539409500680109122014-05-01T04:20:00.002-07:002014-05-01T04:20:52.934-07:00Moore ( Moore's Law) No Moreee ???<div dir="ltr" style="text-align: left;" trbidi="on">
Moore ( Moore's Law) No Moreee ???<br />
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgXN2_JJxp_aNfTPclHlSFgJQEULlsRnSz3ubdeyccHVgUszl1combwOi88B8fSWfRY5LbpW75cMl7r-hzGbKo_dbJc30VLDw5HRY9oUbXTNUaEpVic2u66YOftAJgZH9hXccncz4r0OyXE/s1600/images.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgXN2_JJxp_aNfTPclHlSFgJQEULlsRnSz3ubdeyccHVgUszl1combwOi88B8fSWfRY5LbpW75cMl7r-hzGbKo_dbJc30VLDw5HRY9oUbXTNUaEpVic2u66YOftAJgZH9hXccncz4r0OyXE/s1600/images.jpg" /></a></div>
<br />
<div class="separator" style="clear: both; text-align: center;">
<a href="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgWAADh8iqjGOCA2DmbY1qjzmiMrRgXwPxw8dCgT3JfDc9gWhQsNOLZGas8GWVY5DM12N-q4LZ31W1UAFFkoqmCX9oxtlteSlj24Nl31IOsWILLtv6ZqffIjCegzAxexs7rC4fRxhlDR2dK/s1600/image1s.jpg" imageanchor="1" style="margin-left: 1em; margin-right: 1em;"><img border="0" src="https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEgWAADh8iqjGOCA2DmbY1qjzmiMrRgXwPxw8dCgT3JfDc9gWhQsNOLZGas8GWVY5DM12N-q4LZ31W1UAFFkoqmCX9oxtlteSlj24Nl31IOsWILLtv6ZqffIjCegzAxexs7rC4fRxhlDR2dK/s1600/image1s.jpg" height="106" width="320" /></a></div>
<br />
<br />
What's Your Opinion ? </div>
Vikas Billahttp://www.blogger.com/profile/13571502598735574118noreply@blogger.com0